Commit dc5c25e2 authored by Alexey Grebenkin's avatar Alexey Grebenkin

CVC defines set, part2

parent 26a449b6
...@@ -47,7 +47,13 @@ module idelay_fine_pipe ...@@ -47,7 +47,13 @@ module idelay_fine_pipe
always @ (fdly_pre) begin always @ (fdly_pre) begin
if (fdly_pre > 3'h4) $display ("ERROR: fine idelay value should be <5, specified %d @ %t", fdly_pre,$time); if (fdly_pre > 3'h4) $display ("ERROR: fine idelay value should be <5, specified %d @ %t", fdly_pre,$time);
end end
`endif `else // IVERILOG
`ifdef CVC
always @ (fdly_pre) begin
if (fdly_pre > 3'h4) $display ("ERROR: fine idelay value should be <5, specified %d @ %t", fdly_pre,$time);
end
`endif //CVC
`endif //IVERILOG
`ifndef IGNORE_ATTR `ifndef IGNORE_ATTR
(* IODELAY_GROUP = IODELAY_GRP *) (* IODELAY_GROUP = IODELAY_GRP *)
`endif `endif
......
...@@ -20,6 +20,13 @@ ...@@ -20,6 +20,13 @@
*******************************************************************************/ *******************************************************************************/
`timescale 1ns/1ps `timescale 1ns/1ps
//`define IVERILOG // uncomment just to chenck syntax (by the editor) in the corresponding branch //`define IVERILOG // uncomment just to chenck syntax (by the editor) in the corresponding branch
`ifdef IVERILOG
`define IVERILOGorCVC
`else
`ifdef CVC
`define IVERILOGorCVC
`endif
`endif
module iserdes_mem # module iserdes_mem #
( (
parameter DYN_CLKDIV_INV_EN="FALSE", parameter DYN_CLKDIV_INV_EN="FALSE",
...@@ -35,7 +42,7 @@ module iserdes_mem # ...@@ -35,7 +42,7 @@ module iserdes_mem #
output [3:0] dout output [3:0] dout
); );
`ifndef IVERILOG // Not using simulator - instantiate actual ISERDESE2 (can not be simulated because of encrypted ) `ifndef IVERILOGorCVC // Not using simulator - instantiate actual ISERDESE2 (can not be simulated because of encrypted )
ISERDESE2 #( ISERDESE2 #(
.DATA_RATE ("DDR"), .DATA_RATE ("DDR"),
.DATA_WIDTH (4), .DATA_WIDTH (4),
......
...@@ -46,7 +46,13 @@ module odelay_fine_pipe ...@@ -46,7 +46,13 @@ module odelay_fine_pipe
always @ (fdly_pre) begin always @ (fdly_pre) begin
if (fdly_pre > 3'h4) $display ("ERROR: fine odelay value should be <5, specified %d @ %t", fdly_pre,$time); if (fdly_pre > 3'h4) $display ("ERROR: fine odelay value should be <5, specified %d @ %t", fdly_pre,$time);
end end
`endif `else // IVERILOG
`ifdef CVC
always @ (fdly_pre) begin
if (fdly_pre > 3'h4) $display ("ERROR: fine odelay value should be <5, specified %d @ %t", fdly_pre,$time);
end
`endif // CVC
`endif // IVERILOG
`ifndef IGNORE_ATTR `ifndef IGNORE_ATTR
(* IODELAY_GROUP = IODELAY_GRP *) (* IODELAY_GROUP = IODELAY_GRP *)
......
...@@ -20,6 +20,13 @@ ...@@ -20,6 +20,13 @@
*******************************************************************************/ *******************************************************************************/
`timescale 1ns/1ps `timescale 1ns/1ps
//`define IVERILOG // uncomment just to chenck syntax (by the editor) in the corresponding branch //`define IVERILOG // uncomment just to chenck syntax (by the editor) in the corresponding branch
`ifdef IVERILOG
`define IVERILOGorCVC
`else
`ifdef CVC
`define IVERILOGorCVC
`endif
`endif
module oserdes_mem #( module oserdes_mem #(
parameter MODE_DDR="TRUE" parameter MODE_DDR="TRUE"
) ( ) (
...@@ -46,7 +53,7 @@ localparam integer DATA_WIDTH_TRI= (MODE_DDR=="TRUE")?4:1; ...@@ -46,7 +53,7 @@ localparam integer DATA_WIDTH_TRI= (MODE_DDR=="TRUE")?4:1;
seems to be OK. seems to be OK.
*/ */
`ifndef IVERILOG // Not using simulator - instantiate actual ISERDESE2 (can not be simulated because of encrypted ) `ifndef IVERILOGorCVC // Not using simulator - instantiate actual ISERDESE2 (can not be simulated because of encrypted )
OSERDESE2 #( OSERDESE2 #(
.DATA_RATE_OQ (DATA_RATE), .DATA_RATE_OQ (DATA_RATE),
.DATA_RATE_TQ (DATA_RATE), .DATA_RATE_TQ (DATA_RATE),
......
...@@ -58,14 +58,18 @@ module x393_testbench01 #( ...@@ -58,14 +58,18 @@ module x393_testbench01 #(
`else `else
`include "IVERILOG_INCLUDE.v" `include "IVERILOG_INCLUDE.v"
`endif // NON_VDT_ENVIROMENT `endif // NON_VDT_ENVIROMENT
`else `else // IVERILOG
// $display("IVERILOG is not defined"); // $display("IVERILOG is not defined");
`ifdef CVC `ifdef CVC
`ifdef NON_VDT_ENVIROMENT
parameter lxtname = "x393.fst"; parameter lxtname = "x393.fst";
`else // NON_VDT_ENVIROMENT
`include "IVERILOG_INCLUDE.v"
`endif // NON_VDT_ENVIROMENT
`else `else
parameter lxtname = "x393.lxt"; parameter lxtname = "x393.lxt";
`endif // CVC `endif // CVC
`endif `endif // IVERILOG
`define DEBUG_WR_SINGLE 1 `define DEBUG_WR_SINGLE 1
`define DEBUG_RD_DATA 1 `define DEBUG_RD_DATA 1
//`include "includes/x393_cur_params_sim.vh" // parameters that may need adjustment, should be before x393_localparams.vh //`include "includes/x393_cur_params_sim.vh" // parameters that may need adjustment, should be before x393_localparams.vh
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment