Commit 79e0b9a4 authored by Andrey Filippov's avatar Andrey Filippov

fixed wrong include

parent 6030b5c1
...@@ -101,7 +101,7 @@ module ram18t_var_w_var_r ...@@ -101,7 +101,7 @@ module ram18t_var_w_var_r
parameter WRITE_MODE_B = "NO_CHANGE" //Valid: "WRITE_FIRST", "READ_FIRST", "NO_CHANGE" parameter WRITE_MODE_B = "NO_CHANGE" //Valid: "WRITE_FIRST", "READ_FIRST", "NO_CHANGE"
`ifdef PRELOAD_BRAMS `ifdef PRELOAD_BRAMS
, ,
`include "includes/ram36_declare_init.vh" `include "includes/ram18_declare_init.vh"
`endif `endif
)( )(
input clk_a, // clock for port A input clk_a, // clock for port A
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment