Commit bef1c131 authored by Andrey Filippov's avatar Andrey Filippov

added debug print

parent c9440b42
......@@ -79,6 +79,9 @@ module dsp_ma_preadd #(
1'b0,
2'b01,
2'b01};
initial begin
$display("dsp_ma_preadd, using DSP48E1");
end
DSP48E1 #(
.ACASCREG (1),
......@@ -164,6 +167,10 @@ module dsp_ma_preadd #(
);
`else
initial begin
$display("dsp_ma_preadd, not using DSP48E1");
end
// Will try to make it infer DSP48e1
reg signed [B_WIDTH-1:0] b1_reg;
reg signed [B_WIDTH-1:0] b2_reg;
......
......@@ -69,6 +69,10 @@ module dsp_ma_preadd_c #(
output signed [P_WIDTH-1:0] pout
);
`ifdef INSTANTIATE_DSP48E1
initial begin
$display("dsp_ma_preadd_c, using DSP48E1");
end
wire [4:0] inmode = {~selb,
sub_a,
en_d,
......@@ -167,6 +171,10 @@ module dsp_ma_preadd_c #(
);
`else
initial begin
$display("dsp_ma_preadd_c, not using DSP48E1");
end
// Will try to make it infer DSP48e1
reg signed [B_WIDTH-1:0] b1_reg;
reg signed [B_WIDTH-1:0] b2_reg;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment