Commit 495bdb2e authored by Chiggs's avatar Chiggs

Experimentation with synthesis

parent 5da94bb9
sudo: false
language: python
python:
- "2.7"
before_install:
- sudo apt-get update -qq
- sudo apt-get install -y python-imaging
- sudo apt-get install -y iverilog
- git clone https://github.com/potentialventures/cocotb.git
- export COCOTB=$PWD/cocotb
install:
- pip install coverage
- pip install coveralls
- wget https://www.dropbox.com/s/xvum1md2deppgre/quartus_14.0.tar.gz?dl=0
- mv quartus* quartus_14.0.tar.gz
- tar -zxf quartus_14.0.tar.gz
script:
- cd tb && PYTHONPATH=/home/travis/virtualenv/python2.7.9/lib/python2.7/site-packages make COVERAGE=1
after_success:
- coveralls
- cd syn && PATH=$PWD/altera/14.0/quartus/bin:$PATH make
PWD := $(shell pwd)
PROJECT := oc_jpegencode
TOP_LEVEL_ENTITY := jpeg_top
ASSIGNMENT_FILES := $(PROJECT).qpf $(PROJECT).qsf
VERILOG_SOURCES = $(PWD)/../code/y_huff.v \
$(PWD)/../code/y_quantizer.v \
$(PWD)/../code/y_dct.v \
$(PWD)/../code/cb_huff.v \
$(PWD)/../code/cb_quantizer.v \
$(PWD)/../code/cb_dct.v \
$(PWD)/../code/cr_huff.v \
$(PWD)/../code/cr_quantizer.v \
$(PWD)/../code/cr_dct.v \
$(PWD)/../code/yd_q_h.v \
$(PWD)/../code/cbd_q_h.v \
$(PWD)/../code/crd_q_h.v \
$(PWD)/../code/rgb2ycbcr.v \
$(PWD)/../code/sync_fifo_ff.v \
$(PWD)/../code/sync_fifo_32.v \
$(PWD)/../code/pre_fifo.v \
$(PWD)/../code/ff_checker.v \
$(PWD)/../code/fifo_out.v \
$(PWD)/../code/jpeg_top.v
FAMILY = "Cyclone V"
all: $(PROJECT).sta.rpt
clean:
rm -rf $(ASSIGNMENT_FILES) *.rpt *.summary *.chg smart.log *.htm *.eqn *.pin *.sof *.pof db incremental_db
MAP_ARGS = --read_settings_files=on $(addprefix --source=,$(VERILOG_SOURCES))
FIT_ARGS = --read_settings_files=on
STA_ARGS =
$(PROJECT).map.rpt: $(SOURCE_FILES) $(ASSIGNMENT_FILES)
quartus_map $(MAP_ARGS) $(PROJECT)
$(PROJECT).fit.rpt: $(PROJECT).map.rpt
quartus_fit $(FIT_ARGS) $(PROJECT)
$(PROJECT).sta.rpt: $(PROJECT).fit.rpt
quartus_sta $(STA_ARGS) $(PROJECT)
$(ASSIGNMENT_FILES):
quartus_sh --prepare -f $(FAMILY) -t $(TOP_LEVEL_ENTITY) $(PROJECT)
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment