new subproject
parents
Showing
.project
0 → 100644
unisims/AND2B1L.v
0 → 100644
unisims/AUTOBUF.v
0 → 100644
unisims/BIBUF.v
0 → 100644
unisims/BITSLICE_CONTROL.v
0 → 100644
This diff is collapsed.
unisims/BSCANE2.v
0 → 100644
unisims/BUF.v
0 → 100644
unisims/BUFCE_LEAF.v
0 → 100644
unisims/BUFCE_ROW.v
0 → 100644
unisims/BUFG.v
0 → 100644
unisims/BUFGCE.v
0 → 100644
unisims/BUFGCE_DIV.v
0 → 100644
unisims/BUFGCTRL.v
0 → 100644
This diff is collapsed.
unisims/BUFGP.v
0 → 100644
unisims/BUFG_GT.v
0 → 100644
unisims/BUFH.v
0 → 100644
unisims/BUFHCE.v
0 → 100644
unisims/BUFIO.v
0 → 100644
unisims/BUFMR.v
0 → 100644
unisims/BUFMRCE.v
0 → 100644
unisims/BUFR.v
0 → 100644
unisims/CAPTUREE2.v
0 → 100644
unisims/CARRY4.v
0 → 100644
unisims/CARRY8.v
0 → 100644
This diff is collapsed.
unisims/CFGLUT5.v
0 → 100644
unisims/CMAC.v
0 → 100644
This diff is collapsed.
unisims/DCIRESET.v
0 → 100644
unisims/DCM_ADV.v
0 → 100644
This diff is collapsed.
unisims/DCM_SP.v
0 → 100644
This diff is collapsed.
unisims/DIFFINBUF.v
0 → 100644
unisims/DNA_PORT.v
0 → 100644
unisims/DNA_PORTE2.v
0 → 100644
unisims/DSP48E1.v
0 → 100644
This diff is collapsed.
unisims/DSP48E2.v
0 → 100644
This diff is collapsed.
unisims/DSP_ALU.v
0 → 100644
This diff is collapsed.
unisims/DSP_A_B_DATA.v
0 → 100644
This diff is collapsed.
unisims/DSP_C_DATA.v
0 → 100644
This diff is collapsed.
unisims/DSP_MULTIPLIER.v
0 → 100644
This diff is collapsed.
unisims/DSP_M_DATA.v
0 → 100644
This diff is collapsed.
unisims/DSP_OUTPUT.v
0 → 100644
This diff is collapsed.
unisims/DSP_PREADD.v
0 → 100644
This diff is collapsed.
unisims/DSP_PREADD_DATA.v
0 → 100644
This diff is collapsed.
unisims/EFUSE_USR.v
0 → 100644
This diff is collapsed.
unisims/FDCE.v
0 → 100644
This diff is collapsed.
unisims/FDPE.v
0 → 100644
This diff is collapsed.
unisims/FDRE.v
0 → 100644
This diff is collapsed.
unisims/FDSE.v
0 → 100644
This diff is collapsed.
unisims/FIFO18E1.v
0 → 100644
This diff is collapsed.
unisims/FIFO18E2.v
0 → 100644
This diff is collapsed.
unisims/FIFO36E1.v
0 → 100644
This diff is collapsed.
unisims/FIFO36E2.v
0 → 100644
This diff is collapsed.
unisims/FRAME_ECCE2.v
0 → 100644
This diff is collapsed.
unisims/FRAME_ECCE3.v
0 → 100644
This diff is collapsed.
unisims/GND.v
0 → 100644
This diff is collapsed.
unisims/GTHE2_CHANNEL.v
0 → 100644
This diff is collapsed.
unisims/GTHE2_COMMON.v
0 → 100644
This diff is collapsed.
unisims/GTHE3_CHANNEL.v
0 → 100644
This diff is collapsed.
unisims/GTHE3_COMMON.v
0 → 100644
This diff is collapsed.
unisims/GTPE2_CHANNEL.v
0 → 100644
This diff is collapsed.
unisims/GTPE2_COMMON.v
0 → 100644
This diff is collapsed.
unisims/GTXE2_CHANNEL.v
0 → 100644
This diff is collapsed.
unisims/GTXE2_COMMON.v
0 → 100644
This diff is collapsed.
unisims/GTYE3_CHANNEL.v
0 → 100644
This diff is collapsed.
unisims/GTYE3_COMMON.v
0 → 100644
This diff is collapsed.
unisims/HARD_SYNC.v
0 → 100644
This diff is collapsed.
unisims/HPIO_VREF.v
0 → 100644
This diff is collapsed.
unisims/IBUF.v
0 → 100644
This diff is collapsed.
unisims/IBUFCTRL.v
0 → 100644
This diff is collapsed.
unisims/IBUFDS.v
0 → 100644
This diff is collapsed.
unisims/IBUFDSE3.v
0 → 100644
This diff is collapsed.
unisims/IBUFDS_DIFF_OUT.v
0 → 100644
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
unisims/IBUFDS_GTE2.v
0 → 100644
This diff is collapsed.
unisims/IBUFDS_GTE3.v
0 → 100644
This diff is collapsed.
unisims/IBUFDS_IBUFDISABLE.v
0 → 100644
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
unisims/IBUFE3.v
0 → 100644
This diff is collapsed.
unisims/IBUF_ANALOG.v
0 → 100644
This diff is collapsed.
unisims/IBUF_IBUFDISABLE.v
0 → 100644
This diff is collapsed.
unisims/IBUF_INTERMDISABLE.v
0 → 100644
This diff is collapsed.
unisims/ICAPE2.v
0 → 100644
This diff is collapsed.
unisims/ICAPE3.v
0 → 100644
This diff is collapsed.
unisims/IDDR.v
0 → 100644
This diff is collapsed.
unisims/IDDRE1.v
0 → 100644
This diff is collapsed.
unisims/IDDR_2CLK.v
0 → 100644
This diff is collapsed.
unisims/IDELAYCTRL.v
0 → 100644
This diff is collapsed.
unisims/IDELAYE2.v
0 → 100644
This diff is collapsed.
unisims/IDELAYE2_FINEDELAY.v
0 → 100644
This diff is collapsed.
unisims/IDELAYE3.v
0 → 100644
This diff is collapsed.
unisims/ILKN.v
0 → 100644
This diff is collapsed.
unisims/INBUF.v
0 → 100644
This diff is collapsed.
unisims/INV.v
0 → 100644
This diff is collapsed.
unisims/IN_FIFO.v
0 → 100644
This diff is collapsed.
unisims/IOBUF.v
0 → 100644
This diff is collapsed.
unisims/IOBUFDS.v
0 → 100644
This diff is collapsed.
unisims/IOBUFDSE3.v
0 → 100644
This diff is collapsed.
unisims/IOBUFDS_DCIEN.v
0 → 100644
This diff is collapsed.
unisims/IOBUFDS_DIFF_OUT.v
0 → 100644
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
This diff is collapsed.
unisims/IOBUFE3.v
0 → 100644
This diff is collapsed.
unisims/IOBUF_DCIEN.v
0 → 100644
This diff is collapsed.
This diff is collapsed.
unisims/ISERDES.v
0 → 100644
This diff is collapsed.
unisims/ISERDESE1.v
0 → 100644
This diff is collapsed.
unisims/ISERDESE2.v
0 → 100644
This diff is collapsed.
unisims/ISERDESE3.v
0 → 100644
This diff is collapsed.
unisims/ISERDES_NODELAY.v
0 → 100644
This diff is collapsed.
unisims/JTAG_SIME2.v
0 → 100644
This diff is collapsed.
unisims/KEEPER.v
0 → 100644
This diff is collapsed.
unisims/LDCE.v
0 → 100644
This diff is collapsed.
unisims/LDPE.v
0 → 100644
This diff is collapsed.
unisims/LUT1.v
0 → 100644
This diff is collapsed.
unisims/LUT2.v
0 → 100644
This diff is collapsed.
unisims/LUT3.v
0 → 100644
This diff is collapsed.
unisims/LUT4.v
0 → 100644
This diff is collapsed.
unisims/LUT5.v
0 → 100644
This diff is collapsed.
unisims/LUT6.v
0 → 100644
This diff is collapsed.
unisims/LUT6_2.v
0 → 100644
This diff is collapsed.
unisims/MASTER_JTAG.v
0 → 100644
This diff is collapsed.
unisims/MMCME2_ADV.v
0 → 100644
This diff is collapsed.
unisims/MMCME2_BASE.v
0 → 100644
This diff is collapsed.
unisims/MMCME3_ADV.v
0 → 100644
This diff is collapsed.
unisims/MMCME3_BASE.v
0 → 100644
This diff is collapsed.
unisims/MUXCY.v
0 → 100644
This diff is collapsed.
unisims/MUXF7.v
0 → 100644
This diff is collapsed.
unisims/MUXF8.v
0 → 100644
This diff is collapsed.
unisims/MUXF9.v
0 → 100644
This diff is collapsed.
unisims/OBUF.v
0 → 100644
This diff is collapsed.
unisims/OBUFDS.v
0 → 100644
This diff is collapsed.
unisims/OBUFDS_GTE3.v
0 → 100644
This diff is collapsed.
unisims/OBUFDS_GTE3_ADV.v
0 → 100644
This diff is collapsed.
unisims/OBUFT.v
0 → 100644
This diff is collapsed.
unisims/OBUFTDS.v
0 → 100644
This diff is collapsed.
unisims/OBUFTDSE3.v
0 → 100644
This diff is collapsed.
unisims/OBUFTDS_DCIEN.v
0 → 100644
This diff is collapsed.
unisims/OBUFTE3.v
0 → 100644
This diff is collapsed.
unisims/OBUFT_DCIEN.v
0 → 100644
This diff is collapsed.
unisims/ODDR.v
0 → 100644
This diff is collapsed.
unisims/ODDRE1.v
0 → 100644
This diff is collapsed.
unisims/ODELAYE2.v
0 → 100644
This diff is collapsed.
unisims/ODELAYE2_FINEDELAY.v
0 → 100644
This diff is collapsed.
unisims/ODELAYE3.v
0 → 100644
This diff is collapsed.
unisims/OR2L.v
0 → 100644
This diff is collapsed.
unisims/OSERDES.v
0 → 100644
This diff is collapsed.
unisims/OSERDESE1.v
0 → 100644
This diff is collapsed.
unisims/OSERDESE2.v
0 → 100644
This diff is collapsed.
unisims/OSERDESE3.v
0 → 100644
This diff is collapsed.
unisims/OUT_FIFO.v
0 → 100644
This diff is collapsed.
unisims/PCIE_2_1.v
0 → 100644
This diff is collapsed.
unisims/PCIE_3_0.v
0 → 100644
This diff is collapsed.
unisims/PCIE_3_1.v
0 → 100644
This diff is collapsed.
unisims/PHASER_IN.v
0 → 100644
This diff is collapsed.
unisims/PHASER_IN_PHY.v
0 → 100644
This diff is collapsed.
unisims/PHASER_OUT.v
0 → 100644
This diff is collapsed.
unisims/PHASER_OUT_PHY.v
0 → 100644
This diff is collapsed.
unisims/PHASER_REF.v
0 → 100644
This diff is collapsed.
unisims/PHY_CONTROL.v
0 → 100644
This diff is collapsed.
unisims/PLLE2_ADV.v
0 → 100644
This diff is collapsed.
unisims/PLLE2_BASE.v
0 → 100644
This diff is collapsed.
unisims/PLLE3_ADV.v
0 → 100644
This diff is collapsed.
unisims/PLLE3_BASE.v
0 → 100644
This diff is collapsed.
unisims/PS7.v
0 → 100644
This diff is collapsed.
unisims/PULLDOWN.v
0 → 100644
This diff is collapsed.
unisims/PULLUP.v
0 → 100644
This diff is collapsed.
unisims/RAM128X1D.v
0 → 100644
This diff is collapsed.
unisims/RAM128X1S.v
0 → 100644
This diff is collapsed.
unisims/RAM256X1D.v
0 → 100644
This diff is collapsed.
unisims/RAM256X1S.v
0 → 100644
This diff is collapsed.
unisims/RAM32M.v
0 → 100644
This diff is collapsed.
unisims/RAM32M16.v
0 → 100644
This diff is collapsed.
unisims/RAM32X1D.v
0 → 100644
This diff is collapsed.
unisims/RAM32X1S.v
0 → 100644
This diff is collapsed.
unisims/RAM512X1S.v
0 → 100644
This diff is collapsed.
unisims/RAM64M.v
0 → 100644
This diff is collapsed.
unisims/RAM64M8.v
0 → 100644
This diff is collapsed.
unisims/RAM64X1D.v
0 → 100644
This diff is collapsed.
unisims/RAM64X1S.v
0 → 100644
This diff is collapsed.
unisims/RAMB18E1.v
0 → 100644
This diff is collapsed.
unisims/RAMB18E2.v
0 → 100644
This diff is collapsed.
unisims/RAMB36E1.v
0 → 100644
This diff is collapsed.
unisims/RAMB36E2.v
0 → 100644
This diff is collapsed.
unisims/RAMD32.v
0 → 100644
This diff is collapsed.
unisims/RAMD64E.v
0 → 100644
This diff is collapsed.
unisims/RAMS32.v
0 → 100644
This diff is collapsed.
unisims/RAMS64E.v
0 → 100644
This diff is collapsed.
unisims/RAMS64E1.v
0 → 100644
This diff is collapsed.
unisims/RIU_OR.v
0 → 100644
This diff is collapsed.
unisims/RXTX_BITSLICE.v
0 → 100644
This diff is collapsed.
unisims/RX_BITSLICE.v
0 → 100644
This diff is collapsed.
unisims/SIM_CONFIGE2.v
0 → 100644
This diff is collapsed.
unisims/SIM_CONFIGE3.v
0 → 100644
This diff is collapsed.
unisims/SRL16E.v
0 → 100644
This diff is collapsed.
unisims/SRLC16E.v
0 → 100644
This diff is collapsed.
unisims/SRLC32E.v
0 → 100644
This diff is collapsed.
unisims/STARTUPE2.v
0 → 100644
This diff is collapsed.
unisims/STARTUPE3.v
0 → 100644
This diff is collapsed.
unisims/SYSMONE1.v
0 → 100644
This diff is collapsed.
unisims/TX_BITSLICE.v
0 → 100644
This diff is collapsed.
unisims/TX_BITSLICE_TRI.v
0 → 100644
This diff is collapsed.
unisims/USR_ACCESSE2.v
0 → 100644
This diff is collapsed.
unisims/VCC.v
0 → 100644
This diff is collapsed.
unisims/XADC.v
0 → 100644
This diff is collapsed.
unisims/XORCY.v
0 → 100644
This diff is collapsed.
unisims/ZHOLD_DELAY.v
0 → 100644
This diff is collapsed.
Please register or sign in to comment