Commit f99260f2 authored by Andrey Filippov's avatar Andrey Filippov

fixed histograms_saxi, using 100MHz clock for both saxigp<0,1>, v 03931016

parent dad1d6c5
......@@ -40,7 +40,11 @@
// Number of histograms per sensor is now statically defined by NUM_FRAME_BITS
// It may be modified to both reduce this number (by masking) or increase ( by
// keeping pointer locally)
// move to system_defines, it slowes SAXI_AW and reduces requirements on the ready inputs
`define MOD_SAXI 1
///`define FAKE_WDATA 1
///`define FAKE1_WDATA 1
module histogram_saxi#(
parameter HIST_SAXI_ADDR = 'h380, // 16 locations to write 20 bits of a 4KB page for the histogram
parameter HIST_SAXI_ADDR_MASK = 'h7f0,
......@@ -60,7 +64,7 @@ module histogram_saxi#(
)(
// input rst,
input mclk, // for command/status
input aclk, // global clock to run s_axi (@150MHz?)
input aclk, // global clock to run s_axi (@150MHz?) saxi0_aclk
input mrst, // @posedge mclk, sync reset
input arst, // @posedge aclk, sync reset
......@@ -206,7 +210,6 @@ module histogram_saxi#(
reg [31:10] hist_start_addr; // higher bits of the system memory address of the histogram (1024 bytes) start
reg [31: 6] start_addr_r; // higher bits of the system memory address of the saxi burst start address
wire saxi_start_burst_w;
reg first_burst;
wire [31:0] inter_buf_data; // data between bram buffer and a small FIFO
reg [3:0] wburst_cntr; // count words in output data burst (using max==16)
......@@ -215,10 +218,58 @@ module histogram_saxi#(
wire fifo_nempty;
wire fifo_half_full;
reg [2:0] buf_re; // {fifo_we, buf_regen, buf_re}
wire buf_re_last_w; // reading last word from the buffer
wire buf_re_w;
wire fifo_re;
reg saxi_bvalid_r;
reg page_read_run; // reading buffer page until page_ra reads 'hff
`ifdef FAKE_WDATA
reg [31:0] wdata_fake;
reg [31:0] wdata_fake_r;
reg wdata_fake_inc;
`else
wire [31:0] wdata;
`endif
`ifdef MOD_SAXI
reg saxi_start_burst;
reg saxi_awvalid_r;
wire start_burst_w;
assign start_burst_w = saxi_awvalid && saxi_awready;
assign saxi_awvalid = saxi_awvalid_r; // ((|start_addr_r[9:6]) || first_burst) && !saxi_start_burst && !arst ; // TODO: make it a register
always @(posedge aclk) begin
saxi_start_burst <= start_burst_w;
if (arst || start_burst_w) saxi_awvalid_r <= 0;
else saxi_awvalid_r <= first_burst ||
(saxi_start_burst ? (start_addr_r[9:6] != 'hf) : (|start_addr_r[9:6]));
end
`else
wire saxi_start_burst;
assign saxi_awvalid = ((|start_addr_r[9:6]) || first_burst) && !arst;
assign saxi_start_burst = saxi_awvalid && saxi_awready;
`endif
`ifdef FAKE_WDATA
assign saxi_wdata = wdata_fake_r;
always @ (posedge aclk) begin
// wdata_fake_inc <= en_aclk && saxi_awvalid && saxi_awready; // v 100b
wdata_fake_inc <= en_aclk && saxi_wvalid && saxi_wready; // v 100c
if (!en_aclk) wdata_fake[15:0] <= 0;
else if (wdata_fake_inc) wdata_fake[15:0] <= wdata_fake[15:0] + 1;
if (!en_aclk) wdata_fake[31:16] <= 0;
else wdata_fake[31:16] <= wdata_fake[31:16] + 1;
`ifdef FAKE1_WDATA
wdata_fake_r[31:16] <= wdata_fake[31:16];
wdata_fake_r[15:0] <= start_addr_r[21:6];
`else
wdata_fake_r <= wdata_fake;
`endif
end
//start_addr_r[31:6]
`else
assign saxi_wdata = wdata;
`endif
// reg [9:0] buf_raddr; // nuffer read address {page[1:0], addr [7:0]}
......@@ -312,11 +363,11 @@ module histogram_saxi#(
assign attrib_frame = attrib_r[2+:NUM_FRAME_BITS];
assign attrib_color = attrib_r[1:0];
assign saxi_start_burst_w = saxi_awvalid && saxi_awready;
// assign saxi_start_burst = saxi_awvalid && saxi_awready;
assign saxi_awaddr = {start_addr_r[31:6],6'b0};
assign saxi_awvalid = ((|start_addr_r[9:6]) || first_burst) && !arst;
// assign saxi_awvalid = ((|start_addr_r[9:6]) || first_burst) && !arst;
//{enc_rq[1:0], sub_chn_r, frame_r, burst[1:0]}
// assign block_end= ???;
......@@ -340,7 +391,10 @@ module histogram_saxi#(
// TODO: Maybe reduce pause between 16-burst pages? Allow some overlap?
assign buf_re_w = en_aclk && (|pages_in_buf_rd) && !fifo_half_full && !(&page_ra) && page_read_run; // will stay off until next page
assign buf_re_last_w = &page_ra && buf_re[0];
// assign buf_re_w = en_aclk && (|pages_in_buf_rd) && !fifo_half_full && !(&page_ra) && page_read_run; // will stay off until next page
assign buf_re_w = en_aclk && (|pages_in_buf_rd) && !fifo_half_full && !buf_re_last_w && page_read_run; // will stay off until next page
assign fifo_re= saxi_wvalid && saxi_wready;
// currently waiting for SAXI to get confirmnation of all data in the current page before proceeding to the next
//
......@@ -417,7 +471,9 @@ module histogram_saxi#(
else if (buf_re[0]) page_ra <= page_ra + 1;
if (!en_aclk) page_read_run <= 0;
else page_read_run <= block_start_r[1] || (page_read_run && !(&page_ra)); // until page_ra is 8'hff
// else page_read_run <= block_start_r[1] || (page_read_run && !(&page_ra)); // until page_ra is 8'hff
else page_read_run <= block_start_r[1] || (page_read_run && !buf_re_last_w); // until page_ra is 8'hff
//
if (!en_aclk) pages_in_buf_rd <= 0;
else if ( page_written_aclk && !page_sent_aclk) pages_in_buf_rd <= pages_in_buf_rd + 1;
......@@ -437,11 +493,11 @@ module histogram_saxi#(
if (block_start_r[2]) hist_start_addr[11:10] <= attrib_color;
if (arst || block_start_r[3]) start_addr_r[31:6] <= {hist_start_addr[31:10], 4'b0};
else if (saxi_start_burst_w) start_addr_r[31:6] <= start_addr_r[31:6] + 1;
else if (saxi_start_burst) start_addr_r[31:6] <= start_addr_r[31:6] + 1;
if (!nreset_aclk || arst) first_burst <= 0;
else if (block_start_r[3]) first_burst <= 1; // block_start_r[3] - same as start_addr_r set
else if (saxi_start_burst_w) first_burst <= 0;
else if (saxi_start_burst) first_burst <= 0;
if (block_start_r[0]) awcache_mode <= mode[HIST_SAXI_AWCACHE+:4];
if (block_start_r[0]) confirm_write <= mode[HIST_CONFIRM_WRITE];
......@@ -531,8 +587,8 @@ module histogram_saxi#(
.we (buf_re[2]), // input
.re (fifo_re), // input
.data_in (inter_buf_data), // input[31:0]
.data_out (saxi_wdata), // output[31:0]
.nempty (fifo_nempty), // output
.data_out (wdata), // output[31:0]
.nempty (fifo_nempty), // output (fast register output)
.half_full (fifo_half_full) // output reg
);
endmodule
......
[*]
[*] GTKWave Analyzer v3.3.103 (w)1999-2019 BSI
[*] Wed Mar 15 18:00:46 2023
[*]
[dumpfile] "/home/elphel/git/x393/simulation/x393_dut-20230315102250038.fst"
[dumpfile_mtime] "Wed Mar 15 18:00:45 2023"
[dumpfile_size] 2124760925
[savefile] "/home/elphel/git/x393/cocotb/x393_cocotb_lwir_07.sav"
[timestart] 925520000
[size] 1656 1081
[pos] 1986 58
*-19.424032 927130000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
[treeopen] x393_dut.
[treeopen] x393_dut.simul_saxi_gp0_wr_i.
[treeopen] x393_dut.x393_i.
[treeopen] x393_dut.x393_i.compressor393_i.cmprs_channel_block[0].
[treeopen] x393_dut.x393_i.compressor393_i.cmprs_channel_block[0].jp_channel_i.
[treeopen] x393_dut.x393_i.mcntrl393_i.sens_comp_block[0].
[treeopen] x393_dut.x393_i.sensors393_i.
[treeopen] x393_dut.x393_i.sensors393_i.histogram_saxi_i.
[treeopen] x393_dut.x393_i.sensors393_i.histogram_saxi_i.ram_var_w_var_r_i.
[treeopen] x393_dut.x393_i.sensors393_i.histogram_saxi_i.ram_var_w_var_r_i.genblk1.
[treeopen] x393_dut.x393_i.sensors393_i.sensor_channel_block[0].
[treeopen] x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.
[treeopen] x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.
[treeopen] x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.genblk1.sens_hist_ram_snglclk_32_i.
[treeopen] x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_membuf_i.
[treeopen] x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_membuf_i.chn1wr_buf_i.
[treeopen] x393_dut.x393_i.sensors393_i.sensor_channel_block[1].sensor_channel_i.
[treeopen] x393_dut.x393_i.sensors393_i.sensor_channel_block[3].sensor_channel_i.
[treeopen] x393_dut.x393_i.timing393_i.camsync393_i.
[sst_width] 359
[signals_width] 332
[sst_expanded] 1
[sst_vpaned_height] 566
@c00200
-simul_imx5
@28
x393_dut.IMS_ACTIVE
x393_dut.IMS_TXD
x393_dut.i_simul_imx5.mrst
x393_dut.i_simul_imx5.clk_r
@420
x393_dut.i_simul_imx5.nrec
@8420
x393_dut.i_simul_imx5.num_byte
x393_dut.i_simul_imx5.byte_pointer
@420
x393_dut.i_simul_imx5.shifter
@22
x393_dut.i_simul_imx5.dbyte[7:0]
@28
x393_dut.i_simul_imx5.escape
@22
x393_dut.send_serial_bit.data_byte[7:0]
x393_dut.i_simul_imx5.send_imx_escaped_byte.data_byte[7:0]
x393_dut.i_simul_imx5.send_imx_byte.d[9:0]
@8420
x393_dut.i_simul_imx5.send_imx_byte.i
@200
-
@1401200
-simul_imx5
@c00200
-simulation
@28
x393_dut.GPS1SEC
@800022
x393_dut.gpio_pins[9:0]
@28
(0)x393_dut.gpio_pins[9:0]
(1)x393_dut.gpio_pins[9:0]
(2)x393_dut.gpio_pins[9:0]
(3)x393_dut.gpio_pins[9:0]
(4)x393_dut.gpio_pins[9:0]
(5)x393_dut.gpio_pins[9:0]
(6)x393_dut.gpio_pins[9:0]
(7)x393_dut.gpio_pins[9:0]
(8)x393_dut.gpio_pins[9:0]
(9)x393_dut.gpio_pins[9:0]
@1001200
-group_end
@1401200
-simulation
@c00200
-other
@28
x393_dut.sns1_ctl
x393_dut.sns2_ctl
x393_dut.sns3_ctl
x393_dut.sns4_ctl
x393_dut.x393_i.sns1_ctl
@800022
x393_dut.x393_i.sensors393_i.trig_in[3:0]
@28
(0)x393_dut.x393_i.sensors393_i.trig_in[3:0]
(1)x393_dut.x393_i.sensors393_i.trig_in[3:0]
(2)x393_dut.x393_i.sensors393_i.trig_in[3:0]
(3)x393_dut.x393_i.sensors393_i.trig_in[3:0]
@1001200
-group_end
@200
-sens_103993
-sensor_channel
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.trig
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.trig_in
(4)x393_dut.x393_i.gpio_pins[9:0]
(5)x393_dut.x393_i.gpio_pins[9:0]
@800022
x393_dut.x393_i.gpio_pins[9:0]
@28
(0)x393_dut.x393_i.gpio_pins[9:0]
(1)x393_dut.x393_i.gpio_pins[9:0]
(2)x393_dut.x393_i.gpio_pins[9:0]
(3)x393_dut.x393_i.gpio_pins[9:0]
(4)x393_dut.x393_i.gpio_pins[9:0]
(5)x393_dut.x393_i.gpio_pins[9:0]
(6)x393_dut.x393_i.gpio_pins[9:0]
(7)x393_dut.x393_i.gpio_pins[9:0]
(8)x393_dut.x393_i.gpio_pins[9:0]
(9)x393_dut.x393_i.gpio_pins[9:0]
@1001200
-group_end
@c00022
x393_dut.x393_i.gpio_camsync_en[9:0]
@28
(0)x393_dut.x393_i.gpio_camsync_en[9:0]
(1)x393_dut.x393_i.gpio_camsync_en[9:0]
(2)x393_dut.x393_i.gpio_camsync_en[9:0]
(3)x393_dut.x393_i.gpio_camsync_en[9:0]
(4)x393_dut.x393_i.gpio_camsync_en[9:0]
(5)x393_dut.x393_i.gpio_camsync_en[9:0]
(6)x393_dut.x393_i.gpio_camsync_en[9:0]
(7)x393_dut.x393_i.gpio_camsync_en[9:0]
(8)x393_dut.x393_i.gpio_camsync_en[9:0]
(9)x393_dut.x393_i.gpio_camsync_en[9:0]
@1401200
-group_end
@c00022
x393_dut.x393_i.gpio_camsync[9:0]
@28
(0)x393_dut.x393_i.gpio_camsync[9:0]
(1)x393_dut.x393_i.gpio_camsync[9:0]
(2)x393_dut.x393_i.gpio_camsync[9:0]
(3)x393_dut.x393_i.gpio_camsync[9:0]
(4)x393_dut.x393_i.gpio_camsync[9:0]
(5)x393_dut.x393_i.gpio_camsync[9:0]
(6)x393_dut.x393_i.gpio_camsync[9:0]
(7)x393_dut.x393_i.gpio_camsync[9:0]
(8)x393_dut.x393_i.gpio_camsync[9:0]
(9)x393_dut.x393_i.gpio_camsync[9:0]
@1401200
-group_end
-other
@c00200
-simul_boson640
-x393_dut.simul_boson640_1_i.line_cntr
@1401200
-group_end
@200
-
@1401200
-simul_boson640
@c00200
-imu_message
@28
x393_dut.GPS1SEC
@800022
x393_dut.x393_i.event_logger_i.config_msg[4:0]
@28
(0)x393_dut.x393_i.event_logger_i.config_msg[4:0]
(1)x393_dut.x393_i.event_logger_i.config_msg[4:0]
(2)x393_dut.x393_i.event_logger_i.config_msg[4:0]
(3)x393_dut.x393_i.event_logger_i.config_msg[4:0]
(4)x393_dut.x393_i.event_logger_i.config_msg[4:0]
@1001200
-group_end
@200
-
@28
x393_dut.x393_i.event_logger_i.i_imu_message.en
x393_dut.x393_i.event_logger_i.i_imu_message.trig
x393_dut.x393_i.event_logger_i.i_imu_message.trig_denoise[1:0]
x393_dut.x393_i.event_logger_i.i_imu_message.rdy
x393_dut.x393_i.event_logger_i.i_imu_message.rd_stb
@22
x393_dut.x393_i.event_logger_i.i_imu_message.rdata[15:0]
@28
x393_dut.x393_i.event_logger_i.i_imu_message.ts
@1401200
-imu_message
@c00200
-gpio393
@c00022
x393_dut.x393_i.gpio393_i.da_en[9:0]
@28
(0)x393_dut.x393_i.gpio393_i.da_en[9:0]
(1)x393_dut.x393_i.gpio393_i.da_en[9:0]
(2)x393_dut.x393_i.gpio393_i.da_en[9:0]
(3)x393_dut.x393_i.gpio393_i.da_en[9:0]
(4)x393_dut.x393_i.gpio393_i.da_en[9:0]
(5)x393_dut.x393_i.gpio393_i.da_en[9:0]
(6)x393_dut.x393_i.gpio393_i.da_en[9:0]
(7)x393_dut.x393_i.gpio393_i.da_en[9:0]
(8)x393_dut.x393_i.gpio393_i.da_en[9:0]
(9)x393_dut.x393_i.gpio393_i.da_en[9:0]
@1401200
-group_end
@c00022
x393_dut.x393_i.gpio393_i.da[9:0]
@28
(0)x393_dut.x393_i.gpio393_i.da[9:0]
(1)x393_dut.x393_i.gpio393_i.da[9:0]
(2)x393_dut.x393_i.gpio393_i.da[9:0]
(3)x393_dut.x393_i.gpio393_i.da[9:0]
(4)x393_dut.x393_i.gpio393_i.da[9:0]
(5)x393_dut.x393_i.gpio393_i.da[9:0]
(6)x393_dut.x393_i.gpio393_i.da[9:0]
(7)x393_dut.x393_i.gpio393_i.da[9:0]
(8)x393_dut.x393_i.gpio393_i.da[9:0]
(9)x393_dut.x393_i.gpio393_i.da[9:0]
@1401200
-group_end
@22
x393_dut.x393_i.gpio393_i.db[9:0]
@800022
x393_dut.x393_i.gpio393_i.dc[9:0]
@28
(0)x393_dut.x393_i.gpio393_i.dc[9:0]
(1)x393_dut.x393_i.gpio393_i.dc[9:0]
(2)x393_dut.x393_i.gpio393_i.dc[9:0]
(3)x393_dut.x393_i.gpio393_i.dc[9:0]
(4)x393_dut.x393_i.gpio393_i.dc[9:0]
(5)x393_dut.x393_i.gpio393_i.dc[9:0]
(6)x393_dut.x393_i.gpio393_i.dc[9:0]
(7)x393_dut.x393_i.gpio393_i.dc[9:0]
(8)x393_dut.x393_i.gpio393_i.dc[9:0]
(9)x393_dut.x393_i.gpio393_i.dc[9:0]
@c00022
x393_dut.x393_i.gpio393_i.dc_en[9:0]
@28
(0)x393_dut.x393_i.gpio393_i.dc_en[9:0]
(1)x393_dut.x393_i.gpio393_i.dc_en[9:0]
(2)x393_dut.x393_i.gpio393_i.dc_en[9:0]
(3)x393_dut.x393_i.gpio393_i.dc_en[9:0]
(4)x393_dut.x393_i.gpio393_i.dc_en[9:0]
(5)x393_dut.x393_i.gpio393_i.dc_en[9:0]
(6)x393_dut.x393_i.gpio393_i.dc_en[9:0]
(7)x393_dut.x393_i.gpio393_i.dc_en[9:0]
(8)x393_dut.x393_i.gpio393_i.dc_en[9:0]
(9)x393_dut.x393_i.gpio393_i.dc_en[9:0]
@1401200
-group_end
@1001200
-group_end
@800022
x393_dut.x393_i.gpio393_i.ext_pins[9:0]
@28
(0)x393_dut.x393_i.gpio393_i.ext_pins[9:0]
(1)x393_dut.x393_i.gpio393_i.ext_pins[9:0]
(2)x393_dut.x393_i.gpio393_i.ext_pins[9:0]
(3)x393_dut.x393_i.gpio393_i.ext_pins[9:0]
(4)x393_dut.x393_i.gpio393_i.ext_pins[9:0]
(5)x393_dut.x393_i.gpio393_i.ext_pins[9:0]
(6)x393_dut.x393_i.gpio393_i.ext_pins[9:0]
(7)x393_dut.x393_i.gpio393_i.ext_pins[9:0]
(8)x393_dut.x393_i.gpio393_i.ext_pins[9:0]
(9)x393_dut.x393_i.gpio393_i.ext_pins[9:0]
@1001200
-group_end
@c00022
x393_dut.x393_i.gpio393_i.io_pins[9:0]
@28
(0)x393_dut.x393_i.gpio393_i.io_pins[9:0]
(1)x393_dut.x393_i.gpio393_i.io_pins[9:0]
(2)x393_dut.x393_i.gpio393_i.io_pins[9:0]
(3)x393_dut.x393_i.gpio393_i.io_pins[9:0]
(4)x393_dut.x393_i.gpio393_i.io_pins[9:0]
(5)x393_dut.x393_i.gpio393_i.io_pins[9:0]
(6)x393_dut.x393_i.gpio393_i.io_pins[9:0]
(7)x393_dut.x393_i.gpio393_i.io_pins[9:0]
(8)x393_dut.x393_i.gpio393_i.io_pins[9:0]
(9)x393_dut.x393_i.gpio393_i.io_pins[9:0]
@1401200
-group_end
@800022
x393_dut.x393_i.gpio393_i.io_t[9:0]
@28
(0)x393_dut.x393_i.gpio393_i.io_t[9:0]
(1)x393_dut.x393_i.gpio393_i.io_t[9:0]
(2)x393_dut.x393_i.gpio393_i.io_t[9:0]
(3)x393_dut.x393_i.gpio393_i.io_t[9:0]
(4)x393_dut.x393_i.gpio393_i.io_t[9:0]
(5)x393_dut.x393_i.gpio393_i.io_t[9:0]
(6)x393_dut.x393_i.gpio393_i.io_t[9:0]
(7)x393_dut.x393_i.gpio393_i.io_t[9:0]
(8)x393_dut.x393_i.gpio393_i.io_t[9:0]
(9)x393_dut.x393_i.gpio393_i.io_t[9:0]
@1001200
-group_end
@1401200
-gpio393
@c00200
-timing393
@28
x393_dut.x393_i.timing393_i.frsync_chn0
x393_dut.x393_i.timing393_i.frsync_chn1
x393_dut.x393_i.timing393_i.frsync_chn2
x393_dut.x393_i.timing393_i.frsync_chn3
x393_dut.x393_i.timing393_i.trig_chn0
x393_dut.x393_i.timing393_i.trig_chn1
x393_dut.x393_i.timing393_i.trig_chn2
x393_dut.x393_i.timing393_i.trig_chn3
@200
-
@22
x393_dut.x393_i.timing393_i.live_sec[31:0]
x393_dut.x393_i.timing393_i.live_usec[19:0]
@800022
x393_dut.x393_i.timing393_i.gpio_in[9:0]
@28
(0)x393_dut.x393_i.timing393_i.gpio_in[9:0]
(1)x393_dut.x393_i.timing393_i.gpio_in[9:0]
(2)x393_dut.x393_i.timing393_i.gpio_in[9:0]
(3)x393_dut.x393_i.timing393_i.gpio_in[9:0]
(4)x393_dut.x393_i.timing393_i.gpio_in[9:0]
(5)x393_dut.x393_i.timing393_i.gpio_in[9:0]
(6)x393_dut.x393_i.timing393_i.gpio_in[9:0]
(7)x393_dut.x393_i.timing393_i.gpio_in[9:0]
(8)x393_dut.x393_i.timing393_i.gpio_in[9:0]
(9)x393_dut.x393_i.timing393_i.gpio_in[9:0]
@1001200
-group_end
@c00022
x393_dut.x393_i.timing393_i.gpio_out[9:0]
@28
(0)x393_dut.x393_i.timing393_i.gpio_out[9:0]
(1)x393_dut.x393_i.timing393_i.gpio_out[9:0]
(2)x393_dut.x393_i.timing393_i.gpio_out[9:0]
(3)x393_dut.x393_i.timing393_i.gpio_out[9:0]
(4)x393_dut.x393_i.timing393_i.gpio_out[9:0]
(5)x393_dut.x393_i.timing393_i.gpio_out[9:0]
(6)x393_dut.x393_i.timing393_i.gpio_out[9:0]
(7)x393_dut.x393_i.timing393_i.gpio_out[9:0]
(8)x393_dut.x393_i.timing393_i.gpio_out[9:0]
(9)x393_dut.x393_i.timing393_i.gpio_out[9:0]
@1401200
-group_end
@22
x393_dut.x393_i.timing393_i.gpio_out_en[9:0]
@28
x393_dut.x393_i.timing393_i.ts_master_snap
x393_dut.x393_i.timing393_i.ts_master_stb
@22
x393_dut.x393_i.timing393_i.ts_master_data[7:0]
@200
-
@1401200
-timing393
@c00200
-camsync393
@28
x393_dut.x393_i.timing393_i.camsync393_i.set_period
@22
x393_dut.x393_i.timing393_i.camsync393_i.repeat_period[31:0]
@8022
x393_dut.x393_i.timing393_i.camsync393_i.restart_cntr[31:0]
@28
x393_dut.x393_i.timing393_i.camsync393_i.ext_int_pre_pause
x393_dut.x393_i.timing393_i.camsync393_i.ext_int_arm[1:0]
x393_dut.x393_i.timing393_i.camsync393_i.start_en
@c00028
x393_dut.x393_i.timing393_i.camsync393_i.restart_cntr_run[1:0]
@28
(0)x393_dut.x393_i.timing393_i.camsync393_i.restart_cntr_run[1:0]
(1)x393_dut.x393_i.timing393_i.camsync393_i.restart_cntr_run[1:0]
@1401200
-group_end
@28
x393_dut.x393_i.timing393_i.camsync393_i.restart
x393_dut.x393_i.timing393_i.camsync393_i.rep_en_pclk
@c00022
x393_dut.x393_i.timing393_i.camsync393_i.start_pclk[2:0]
@28
(0)x393_dut.x393_i.timing393_i.camsync393_i.start_pclk[2:0]
(1)x393_dut.x393_i.timing393_i.camsync393_i.start_pclk[2:0]
(2)x393_dut.x393_i.timing393_i.camsync393_i.start_pclk[2:0]
@1401200
-group_end
@28
x393_dut.x393_i.timing393_i.camsync393_i.suppress_immediate
x393_dut.x393_i.timing393_i.camsync393_i.start_pclk2_masked
x393_dut.x393_i.timing393_i.camsync393_i.master_got_pclk
x393_dut.x393_i.timing393_i.camsync393_i.ts_snd_en_pclk
x393_dut.x393_i.timing393_i.camsync393_i.armed_internal_trigger
x393_dut.x393_i.timing393_i.camsync393_i.start_late
x393_dut.x393_i.timing393_i.camsync393_i.start_late_first
x393_dut.x393_i.timing393_i.camsync393_i.start_en
x393_dut.x393_i.timing393_i.camsync393_i.input_use_intern
@22
x393_dut.x393_i.timing393_i.camsync393_i.master_chn[1:0]
@28
(3)x393_dut.x393_i.timing393_i.camsync393_i.dis_trig[3:0]
(3)x393_dut.x393_i.timing393_i.camsync393_i.trig_w[3:0]
(3)x393_dut.x393_i.timing393_i.camsync393_i.trig_r[3:0]
(3)x393_dut.x393_i.timing393_i.camsync393_i.trig_r_mclk[3:0]
x393_dut.x393_i.timing393_i.camsync393_i.trig_chn0
x393_dut.x393_i.timing393_i.camsync393_i.frsync_chn0
@800022
x393_dut.x393_i.timing393_i.camsync393_i.gpio_in[9:0]
@28
(0)x393_dut.x393_i.timing393_i.camsync393_i.gpio_in[9:0]
(1)x393_dut.x393_i.timing393_i.camsync393_i.gpio_in[9:0]
(2)x393_dut.x393_i.timing393_i.camsync393_i.gpio_in[9:0]
(3)x393_dut.x393_i.timing393_i.camsync393_i.gpio_in[9:0]
(4)x393_dut.x393_i.timing393_i.camsync393_i.gpio_in[9:0]
(5)x393_dut.x393_i.timing393_i.camsync393_i.gpio_in[9:0]
(6)x393_dut.x393_i.timing393_i.camsync393_i.gpio_in[9:0]
(7)x393_dut.x393_i.timing393_i.camsync393_i.gpio_in[9:0]
(8)x393_dut.x393_i.timing393_i.camsync393_i.gpio_in[9:0]
(9)x393_dut.x393_i.timing393_i.camsync393_i.gpio_in[9:0]
@1001200
-group_end
@c00022
x393_dut.x393_i.timing393_i.camsync393_i.gpio_out_en[9:0]
@28
(0)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out_en[9:0]
(1)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out_en[9:0]
(2)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out_en[9:0]
(3)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out_en[9:0]
(4)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out_en[9:0]
(5)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out_en[9:0]
(6)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out_en[9:0]
(7)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out_en[9:0]
(8)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out_en[9:0]
(9)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out_en[9:0]
@1401200
-group_end
@c00022
x393_dut.x393_i.timing393_i.camsync393_i.gpio_out[9:0]
@28
(0)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out[9:0]
(1)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out[9:0]
(2)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out[9:0]
(3)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out[9:0]
(4)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out[9:0]
(5)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out[9:0]
(6)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out[9:0]
(7)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out[9:0]
(8)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out[9:0]
(9)x393_dut.x393_i.timing393_i.camsync393_i.gpio_out[9:0]
@1401200
-group_end
@22
x393_dut.x393_i.timing393_i.camsync393_i.gpio_active[9:0]
@28
x393_dut.x393_i.timing393_i.camsync393_i.out_data
x393_dut.x393_i.timing393_i.camsync393_i.ts_snd_en_pclk
@22
x393_dut.x393_i.timing393_i.camsync393_i.sr_snd_first[31:0]
x393_dut.x393_i.timing393_i.camsync393_i.sr_snd_second[31:0]
@28
x393_dut.x393_i.timing393_i.camsync393_i.outsync
x393_dut.x393_i.timing393_i.camsync393_i.pre_start_out_pulse
x393_dut.x393_i.timing393_i.camsync393_i.input_use_intern
@22
x393_dut.x393_i.timing393_i.camsync393_i.master_chn[1:0]
x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_end[3:0]
@28
x393_dut.x393_i.timing393_i.camsync393_i.start_late
@c00022
x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_run[3:0]
@28
(0)x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_run[3:0]
(1)x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_run[3:0]
(2)x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_run[3:0]
(3)x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_run[3:0]
@1401200
-group_end
@22
x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_run_d[3:0]
@28
x393_dut.x393_i.timing393_i.camsync393_i.eprst
@22
x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_start[3:0]
@c00022
x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_non_zero[3:0]
@28
(0)x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_non_zero[3:0]
(1)x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_non_zero[3:0]
(2)x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_non_zero[3:0]
(3)x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_non_zero[3:0]
@1401200
-group_end
@22
x393_dut.x393_i.timing393_i.camsync393_i.dly_cntr_chn0[31:0]
x393_dut.x393_i.timing393_i.camsync393_i.input_dly_chn0[31:0]
@28
x393_dut.x393_i.timing393_i.camsync393_i.trigger_condition
@22
x393_dut.x393_i.timing393_i.camsync393_i.input_pattern[9:0]
x393_dut.x393_i.timing393_i.camsync393_i.trigger_condition_mask_w[9:0]
@28
x393_dut.x393_i.timing393_i.camsync393_i.rcv_run
x393_dut.x393_i.timing393_i.camsync393_i.rcv_run_or_deaf
x393_dut.x393_i.timing393_i.camsync393_i.start_dly
x393_dut.x393_i.timing393_i.camsync393_i.start_early
x393_dut.x393_i.timing393_i.camsync393_i.start_late
@22
x393_dut.x393_i.timing393_i.camsync393_i.bit_rcv_duration[7:0]
x393_dut.x393_i.timing393_i.camsync393_i.bit_rcv_counter[6:0]
@28
x393_dut.x393_i.timing393_i.camsync393_i.bit_rcv_duration_zero
@22
x393_dut.x393_i.timing393_i.camsync393_i.sr_rcv_first[31:0]
x393_dut.x393_i.timing393_i.camsync393_i.sr_rcv_second[31:0]
x393_dut.x393_i.timing393_i.camsync393_i.sr_snd_first[31:0]
x393_dut.x393_i.timing393_i.camsync393_i.sr_snd_second[31:0]
x393_dut.x393_i.timing393_i.camsync393_i.local_got[3:0]
x393_dut.x393_i.timing393_i.camsync393_i.local_got_pclk[3:0]
@28
x393_dut.x393_i.timing393_i.camsync393_i.master_got_pclk
x393_dut.x393_i.timing393_i.camsync393_i.ts_incoming
@22
x393_dut.x393_i.timing393_i.camsync393_i.ts_sec_received_or_master[31:0]
x393_dut.x393_i.timing393_i.camsync393_i.ts_usec_received_or_master[19:0]
@28
x393_dut.x393_i.timing393_i.camsync393_i.triggered_mode_pclk
x393_dut.x393_i.timing393_i.camsync393_i.ts_external_pclk
x393_dut.x393_i.timing393_i.camsync393_i.received_or_master
@22
x393_dut.x393_i.timing393_i.camsync393_i.ts_rcv_sec_chn0[31:0]
x393_dut.x393_i.timing393_i.camsync393_i.ts_rcv_usec_chn0[19:0]
@800022
x393_dut.x393_i.timing393_i.camsync393_i.ts_stb[3:0]
@28
(0)x393_dut.x393_i.timing393_i.camsync393_i.ts_stb[3:0]
(1)x393_dut.x393_i.timing393_i.camsync393_i.ts_stb[3:0]
(2)x393_dut.x393_i.timing393_i.camsync393_i.ts_stb[3:0]
(3)x393_dut.x393_i.timing393_i.camsync393_i.ts_stb[3:0]
@1001200
-group_end
@22
x393_dut.x393_i.timing393_i.camsync393_i.ts_rcv_data_chn0[7:0]
@200
-
@28
x393_dut.x393_i.timing393_i.camsync393_i.ts_incoming
x393_dut.x393_i.timing393_i.camsync393_i.rcv_done
x393_dut.x393_i.timing393_i.camsync393_i.rcv_done_mclk
x393_dut.x393_i.timing393_i.camsync393_i.ts_rcv_stb_chn4
@22
x393_dut.x393_i.timing393_i.camsync393_i.ts_rcv_data_chn4[7:0]
@1401200
-camsync393
@c00200
-saxigp0_wr
@22
x393_dut.saxigp0_wid[5:0]
x393_dut.saxigp0_wr_address[31:0]
x393_dut.saxigp0_wr_data[31:0]
@28
x393_dut.saxigp0_wr_ready
x393_dut.saxigp0_wr_size[1:0]
@22
x393_dut.saxigp0_wr_stb[3:0]
@28
x393_dut.saxigp0_wr_valid
@1401200
-saxigp0_wr
@c00200
-saxigp1_wr
@22
x393_dut.saxigp1_wid[5:0]
x393_dut.saxigp1_wr_address[31:0]
x393_dut.saxigp1_wr_data[31:0]
@28
x393_dut.saxigp1_wr_ready
x393_dut.saxigp1_wr_size[1:0]
@22
x393_dut.saxigp1_wr_stb[3:0]
@28
x393_dut.saxigp1_wr_valid
@1401200
-saxigp1_wr
@c00200
-random
@28
x393_dut.x393_i.sns1_pg
x393_dut.x393_i.sns2_scl
x393_dut.x393_i.event_logger_i.enable_gps
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.eof
@1401200
-random
@c00200
-event_logger
@c00022
x393_dut.x393_i.gpio_logger[9:0]
@28
(0)x393_dut.x393_i.gpio_logger[9:0]
(1)x393_dut.x393_i.gpio_logger[9:0]
(2)x393_dut.x393_i.gpio_logger[9:0]
(3)x393_dut.x393_i.gpio_logger[9:0]
(4)x393_dut.x393_i.gpio_logger[9:0]
(5)x393_dut.x393_i.gpio_logger[9:0]
(6)x393_dut.x393_i.gpio_logger[9:0]
(7)x393_dut.x393_i.gpio_logger[9:0]
(8)x393_dut.x393_i.gpio_logger[9:0]
(9)x393_dut.x393_i.gpio_logger[9:0]
@1401200
-group_end
@c00022
x393_dut.x393_i.gpio_logger_en[9:0]
@28
(0)x393_dut.x393_i.gpio_logger_en[9:0]
(1)x393_dut.x393_i.gpio_logger_en[9:0]
(2)x393_dut.x393_i.gpio_logger_en[9:0]
(3)x393_dut.x393_i.gpio_logger_en[9:0]
(4)x393_dut.x393_i.gpio_logger_en[9:0]
(5)x393_dut.x393_i.gpio_logger_en[9:0]
(6)x393_dut.x393_i.gpio_logger_en[9:0]
(7)x393_dut.x393_i.gpio_logger_en[9:0]
(8)x393_dut.x393_i.gpio_logger_en[9:0]
(9)x393_dut.x393_i.gpio_logger_en[9:0]
@1401200
-group_end
@200
-
@28
(0)x393_dut.x393_i.event_logger_i.en_imx_mclk_r[1:0]
x393_dut.x393_i.event_logger_i.ext_sync_toggle_r
x393_dut.x393_i.event_logger_i.xrst
x393_dut.x393_i.event_logger_i.we_config_rst
x393_dut.x393_i.event_logger_i.we_config_rst_xclk
x393_dut.x393_i.event_logger_i.config_rst_mclk
x393_dut.x393_i.event_logger_i.config_rst
@22
x393_dut.x393_i.event_logger_i.enable_syn_mclk[4:0]
@28
x393_dut.x393_i.event_logger_i.i_rs232_rcv.xclk
x393_dut.x393_i.event_logger_i.cmd_we
@c00022
x393_dut.x393_i.event_logger_i.ctrl_addr[6:0]
@28
(0)x393_dut.x393_i.event_logger_i.ctrl_addr[6:0]
(1)x393_dut.x393_i.event_logger_i.ctrl_addr[6:0]
(2)x393_dut.x393_i.event_logger_i.ctrl_addr[6:0]
(3)x393_dut.x393_i.event_logger_i.ctrl_addr[6:0]
(4)x393_dut.x393_i.event_logger_i.ctrl_addr[6:0]
(5)x393_dut.x393_i.event_logger_i.ctrl_addr[6:0]
(6)x393_dut.x393_i.event_logger_i.ctrl_addr[6:0]
@1401200
-group_end
@22
x393_dut.x393_i.event_logger_i.cmd_data_r[31:0]
@28
x393_dut.x393_i.event_logger_i.we_d
@c00028
x393_dut.x393_i.event_logger_i.config_imu[1:0]
@28
(0)x393_dut.x393_i.event_logger_i.config_imu[1:0]
(1)x393_dut.x393_i.event_logger_i.config_imu[1:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.use_imx5
@c00022
x393_dut.x393_i.event_logger_i.config_gps[3:0]
@28
(0)x393_dut.x393_i.event_logger_i.config_gps[3:0]
(1)x393_dut.x393_i.event_logger_i.config_gps[3:0]
(2)x393_dut.x393_i.event_logger_i.config_gps[3:0]
(3)x393_dut.x393_i.event_logger_i.config_gps[3:0]
@1401200
-group_end
@22
x393_dut.x393_i.event_logger_i.bitHalfPeriod[15:0]
@28
x393_dut.x393_i.event_logger_i.i_rs232_rcv.bit_half_end
@c00022
x393_dut.x393_i.event_logger_i.ext_di[9:0]
@28
(0)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(1)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(2)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(3)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(4)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(5)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(6)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(7)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(8)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(9)x393_dut.x393_i.event_logger_i.ext_di[9:0]
@1401200
-group_end
@c00022
x393_dut.x393_i.event_logger_i.ext_di16[15:0]
@28
(0)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(1)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(2)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(3)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(4)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(5)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(6)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(7)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(8)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(9)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(10)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(11)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(12)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(13)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(14)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
(15)x393_dut.x393_i.event_logger_i.ext_di16[15:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.gps_pulse1sec
x393_dut.x393_i.event_logger_i.gps_pulse1sec_single
@c00022
x393_dut.x393_i.event_logger_i.timestamp_request[3:0]
@28
(0)x393_dut.x393_i.event_logger_i.timestamp_request[3:0]
(1)x393_dut.x393_i.event_logger_i.timestamp_request[3:0]
(2)x393_dut.x393_i.event_logger_i.timestamp_request[3:0]
(3)x393_dut.x393_i.event_logger_i.timestamp_request[3:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.ser_di
x393_dut.x393_i.event_logger_i.ser_do
x393_dut.x393_i.event_logger_i.enable_msg
x393_dut.x393_i.event_logger_i.enable_timestamps
@c00022
x393_dut.x393_i.event_logger_i.config_gps[3:0]
@28
(0)x393_dut.x393_i.event_logger_i.config_gps[3:0]
(1)x393_dut.x393_i.event_logger_i.config_gps[3:0]
(2)x393_dut.x393_i.event_logger_i.config_gps[3:0]
(3)x393_dut.x393_i.event_logger_i.config_gps[3:0]
@1401200
-group_end
@c00022
x393_dut.x393_i.event_logger_i.ext_di[9:0]
@28
(0)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(1)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(2)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(3)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(4)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(5)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(6)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(7)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(8)x393_dut.x393_i.event_logger_i.ext_di[9:0]
(9)x393_dut.x393_i.event_logger_i.ext_di[9:0]
@1401200
-group_end
@22
x393_dut.x393_i.event_logger_i.ext_do[9:0]
x393_dut.x393_i.event_logger_i.ext_en[9:0]
@c00022
x393_dut.x393_i.event_logger_i.extts_data[15:0]
@28
(0)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(1)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(2)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(3)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(4)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(5)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(6)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(7)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(8)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(9)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(10)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(11)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(12)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(13)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(14)x393_dut.x393_i.event_logger_i.extts_data[15:0]
(15)x393_dut.x393_i.event_logger_i.extts_data[15:0]
@1401200
-group_end
@c00022
x393_dut.x393_i.event_logger_i.imu_data[15:0]
@28
(0)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(1)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(2)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(3)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(4)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(5)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(6)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(7)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(8)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(9)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(10)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(11)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(12)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(13)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(14)x393_dut.x393_i.event_logger_i.imu_data[15:0]
(15)x393_dut.x393_i.event_logger_i.imu_data[15:0]
@1401200
-group_end
@c00022
x393_dut.x393_i.event_logger_i.msg_data[15:0]
@28
(0)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(1)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(2)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(3)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(4)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(5)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(6)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(7)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(8)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(9)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(10)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(11)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(12)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(13)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(14)x393_dut.x393_i.event_logger_i.msg_data[15:0]
(15)x393_dut.x393_i.event_logger_i.msg_data[15:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.mux_rdy_source
@c00022
x393_dut.x393_i.event_logger_i.channel_ready[3:0]
@28
(0)x393_dut.x393_i.event_logger_i.channel_ready[3:0]
(1)x393_dut.x393_i.event_logger_i.channel_ready[3:0]
(2)x393_dut.x393_i.event_logger_i.channel_ready[3:0]
(3)x393_dut.x393_i.event_logger_i.channel_ready[3:0]
@1401200
-group_end
@22
x393_dut.x393_i.event_logger_i.channel[1:0]
x393_dut.x393_i.event_logger_i.mux_data_source[15:0]
@28
x393_dut.x393_i.event_logger_i.mux_data_valid
@22
x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
x393_dut.x393_i.event_logger_i.data_out[15:0]
@28
x393_dut.x393_i.event_logger_i.data_out_stb
x393_dut.x393_i.event_logger_i.rs232_start
x393_dut.x393_i.event_logger_i.rs232_wait_pause
@22
x393_dut.x393_i.event_logger_i.sample_counter[23:0]
x393_dut.x393_i.event_logger_i.sof_mclk[3:0]
x393_dut.x393_i.event_logger_i.timestamp_ackn[3:0]
@c00022
x393_dut.x393_i.event_logger_i.timestamp_request[3:0]
@28
(0)x393_dut.x393_i.event_logger_i.timestamp_request[3:0]
(1)x393_dut.x393_i.event_logger_i.timestamp_request[3:0]
(2)x393_dut.x393_i.event_logger_i.timestamp_request[3:0]
(3)x393_dut.x393_i.event_logger_i.timestamp_request[3:0]
@1401200
-group_end
@22
x393_dut.x393_i.event_logger_i.ts_data_chn0[7:0]
@28
x393_dut.x393_i.event_logger_i.ts_local_snap
x393_dut.x393_i.event_logger_i.ts_local_stb
x393_dut.x393_i.event_logger_i.ts_stb_chn0
x393_dut.x393_i.event_logger_i.ts_stb_chn1
x393_dut.x393_i.event_logger_i.ts_stb_chn2
x393_dut.x393_i.event_logger_i.ts_stb_chn3
x393_dut.x393_i.event_logger_i.ts_stb_chn4
@c00022
x393_dut.x393_i.event_logger_i.ts_data_chn4[7:0]
@28
(0)x393_dut.x393_i.event_logger_i.ts_data_chn4[7:0]
(1)x393_dut.x393_i.event_logger_i.ts_data_chn4[7:0]
(2)x393_dut.x393_i.event_logger_i.ts_data_chn4[7:0]
(3)x393_dut.x393_i.event_logger_i.ts_data_chn4[7:0]
(4)x393_dut.x393_i.event_logger_i.ts_data_chn4[7:0]
(5)x393_dut.x393_i.event_logger_i.ts_data_chn4[7:0]
(6)x393_dut.x393_i.event_logger_i.ts_data_chn4[7:0]
(7)x393_dut.x393_i.event_logger_i.ts_data_chn4[7:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.we_config_imu
x393_dut.x393_i.event_logger_i.we_gps
x393_dut.x393_i.event_logger_i.we_imu
x393_dut.x393_i.event_logger_i.we_message
x393_dut.x393_i.event_logger_i.we_period
x393_dut.x393_i.event_logger_i.we_bit_duration
x393_dut.x393_i.event_logger_i.we_bitHalfPeriod
x393_dut.x393_i.event_logger_i.we_config_debug
x393_dut.x393_i.event_logger_i.we_config_gps
@22
x393_dut.x393_i.event_logger_i.data_out[15:0]
@28
x393_dut.x393_i.event_logger_i.data_out_stb
@c00022
x393_dut.x393_i.event_logger_i.channel_ready[3:0]
@28
(0)x393_dut.x393_i.event_logger_i.channel_ready[3:0]
(1)x393_dut.x393_i.event_logger_i.channel_ready[3:0]
(2)x393_dut.x393_i.event_logger_i.channel_ready[3:0]
(3)x393_dut.x393_i.event_logger_i.channel_ready[3:0]
x393_dut.x393_i.event_logger_i.i_imu_exttime.rd_stb_r
@22
x393_dut.x393_i.event_logger_i.channel[1:0]
@1401200
-group_end
@200
-nmea_decoder
@22
x393_dut.x393_i.event_logger_i.channel_ready[3:0]
x393_dut.x393_i.event_logger_i.channel_next[3:0]
x393_dut.x393_i.event_logger_i.nmea_data[15:0]
@28
x393_dut.x393_i.event_logger_i.mux_rdy_source
@22
x393_dut.x393_i.event_logger_i.mux_data_source[15:0]
@28
x393_dut.x393_i.event_logger_i.ts_en
@c00022
x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
@28
(0)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(1)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(2)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(3)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(4)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(5)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(6)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(7)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(8)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(9)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(10)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(11)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(12)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(13)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(14)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(15)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
@1401200
-group_end
@c00022
x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
@28
(0)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(1)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(2)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(3)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(4)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(5)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(6)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(7)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(8)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(9)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(10)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(11)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(12)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(13)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(14)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(15)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
@1401200
-group_end
@22
x393_dut.x393_i.event_logger_i.config_syn_mclk[4:0]
@28
x393_dut.x393_i.event_logger_i.config_rst_mclk
@c00022
x393_dut.x393_i.event_logger_i.config_gps[3:0]
@28
(0)x393_dut.x393_i.event_logger_i.config_gps[3:0]
(1)x393_dut.x393_i.event_logger_i.config_gps[3:0]
(2)x393_dut.x393_i.event_logger_i.config_gps[3:0]
(3)x393_dut.x393_i.event_logger_i.config_gps[3:0]
@1401200
-group_end
-event_logger
@c00200
-rs232_rcv
@22
x393_dut.x393_i.event_logger_i.i_rs232_rcv.bitHalfPeriod[15:0]
x393_dut.x393_i.event_logger_i.i_rs232_rcv.bit_dur_cntr[15:0]
@28
x393_dut.x393_i.event_logger_i.i_rs232_rcv.xclk
@22
x393_dut.x393_i.event_logger_i.i_rs232_rcv.bit_cntr[4:0]
@28
x393_dut.x393_i.event_logger_i.i_rs232_rcv.ser_rst
x393_dut.x393_i.event_logger_i.i_rs232_rcv.ser_di
x393_dut.x393_i.event_logger_i.i_rs232_rcv.ser_do
x393_dut.x393_i.event_logger_i.i_rs232_rcv.ser_do_stb
@200
-
@1401200
-rs232_rcv
@c00200
-nmea_decoder393
@28
x393_dut.x393_i.event_logger_i.i_nmea_decoder.ser_rst
x393_dut.x393_i.event_logger_i.i_nmea_decoder.xclk
x393_dut.x393_i.event_logger_i.i_nmea_decoder.start
x393_dut.x393_i.event_logger_i.i_nmea_decoder.rs232_wait_pause
x393_dut.x393_i.event_logger_i.i_nmea_decoder.start_char
x393_dut.x393_i.event_logger_i.i_nmea_decoder.nmea_sent_start
x393_dut.x393_i.event_logger_i.i_nmea_decoder.ser_di
x393_dut.x393_i.event_logger_i.i_nmea_decoder.ser_stb
x393_dut.x393_i.event_logger_i.i_nmea_decoder.rdy
x393_dut.x393_i.event_logger_i.i_nmea_decoder.rd_stb
@22
x393_dut.x393_i.event_logger_i.i_nmea_decoder.rdata[15:0]
@28
x393_dut.x393_i.event_logger_i.i_nmea_decoder.ser_rst
@200
-event_logger
@c00022
x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
@28
(0)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(1)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(2)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(3)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(4)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(5)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(6)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(7)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(8)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(9)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(10)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(11)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(12)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(13)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(14)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
(15)x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.ts_en
x393_dut.x393_i.event_logger_i.channel[1:0]
@c00022
x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
@28
(0)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(1)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(2)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(3)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(4)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(5)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(6)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(7)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(8)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(9)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(10)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(11)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(12)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(13)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(14)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
(15)x393_dut.x393_i.event_logger_i.mux_data_final[15:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.ts_local_snap
x393_dut.x393_i.event_logger_i.ts_local_stb
(2)x393_dut.x393_i.event_logger_i.timestamp_request[3:0]
x393_dut.x393_i.event_logger_i.nmea_sent_start
x393_dut.x393_i.event_logger_i.gps_ts_stb
x393_dut.x393_i.event_logger_i.gps_pulse1sec_single
x393_dut.x393_i.event_logger_i.ts_local_snap
x393_dut.x393_i.event_logger_i.ts_local_stb
@8022
x393_dut.x393_i.event_logger_i.i_nmea_decoder.nibble_count[6:0]
@c08022
x393_dut.x393_i.event_logger_i.i_nmea_decoder.last_word_written[4:0]
@28
(0)x393_dut.x393_i.event_logger_i.i_nmea_decoder.last_word_written[4:0]
(1)x393_dut.x393_i.event_logger_i.i_nmea_decoder.last_word_written[4:0]
(2)x393_dut.x393_i.event_logger_i.i_nmea_decoder.last_word_written[4:0]
(3)x393_dut.x393_i.event_logger_i.i_nmea_decoder.last_word_written[4:0]
(4)x393_dut.x393_i.event_logger_i.i_nmea_decoder.last_word_written[4:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.i_nmea_decoder.sentence_over
@22
x393_dut.x393_i.event_logger_i.i_nmea_decoder.raddr[4:0]
x393_dut.x393_i.event_logger_i.timestamps_rdata[15:0]
@28
(2)x393_dut.x393_i.event_logger_i.timestamp_request_long[3:0]
@22
x393_dut.x393_i.event_logger_i.ts_local_data[7:0]
@c00022
x393_dut.x393_i.event_logger_i.timestamp_ackn[3:0]
@28
(0)x393_dut.x393_i.event_logger_i.timestamp_ackn[3:0]
(1)x393_dut.x393_i.event_logger_i.timestamp_ackn[3:0]
(2)x393_dut.x393_i.event_logger_i.timestamp_ackn[3:0]
(3)x393_dut.x393_i.event_logger_i.timestamp_ackn[3:0]
@1401200
-group_end
@200
-
@1401200
-nmea_decoder393
@c00200
-imx5_decoder393
@28
x393_dut.x393_i.event_logger_i.xrst
x393_dut.x393_i.event_logger_i.xclk
x393_dut.x393_i.event_logger_i.use_imx5
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.nreset_r
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.start_char
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.ser_di
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.ser_stb
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdy
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rd_stb
@22
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.raddr[5:0]
@8022
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.raddr[5:0]
@c00022
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
@28
(0)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(1)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(2)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(3)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(4)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(5)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(6)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(7)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(8)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(9)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(10)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(11)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(12)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(13)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(14)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
(15)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rdata[15:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.ts_rq_pend
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.ts_rq_next
@22
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.ts_mode[3:0]
@28
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.ts_rq
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.pre_wr
@800028
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.buf_we[1:0]
@28
(0)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.buf_we[1:0]
(1)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.buf_we[1:0]
@1001200
-group_end
@22
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.waddr[6:0]
@8022
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.waddr[6:0]
@22
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_count[7:0]
@8022
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_count[7:0]
@28
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_count_zero
@22
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.out_cntr[5:0]
@28
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.frag_done
@22
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.out_words[4:0]
@8022
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.out_words[4:0]
@200
-
@28
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.bit_cntr[2:0]
@c00028
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.got_char[2:0]
@28
(0)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.got_char[2:0]
(1)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.got_char[2:0]
(2)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.got_char[2:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.got_start
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.got_stop
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.got_esc
(2)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.got_char[2:0]
@c00022
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_sr[7:0]
@28
(0)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_sr[7:0]
(1)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_sr[7:0]
(2)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_sr[7:0]
(3)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_sr[7:0]
(4)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_sr[7:0]
(5)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_sr[7:0]
(6)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_sr[7:0]
(7)x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_sr[7:0]
@1401200
-group_end
@22
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.byte_in[7:0]
@28
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.header_run
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.rec_run
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.did_start
@22
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.did_len[7:0]
x393_dut.x393_i.event_logger_i.i_imx5_decoder393.last_word_written[5:0]
@200
-
@1401200
-imx5_decoder393
@c00200
-logger_arbiter393
@28
(2)x393_dut.x393_i.event_logger_i.i_logger_arbiter.ts_rq_in[3:0]
(2)x393_dut.x393_i.event_logger_i.i_logger_arbiter.ts_rq[3:0]
(2)x393_dut.x393_i.event_logger_i.i_logger_arbiter.ts_grant[3:0]
(2)x393_dut.x393_i.event_logger_i.i_logger_arbiter.rdy[3:0]
(2)x393_dut.x393_i.event_logger_i.i_logger_arbiter.chn_servicing[3:0]
@22
x393_dut.x393_i.event_logger_i.i_logger_arbiter.seq_cntr[4:0]
@28
x393_dut.x393_i.event_logger_i.i_logger_arbiter.pre_nxt
(2)x393_dut.x393_i.event_logger_i.i_logger_arbiter.nxt[3:0]
@22
x393_dut.x393_i.event_logger_i.i_logger_arbiter.channel[1:0]
x393_dut.x393_i.event_logger_i.i_logger_arbiter.ts_sel[1:0]
@28
x393_dut.x393_i.event_logger_i.i_logger_arbiter.ts_en
x393_dut.x393_i.event_logger_i.i_logger_arbiter.dv
@22
x393_dut.x393_i.event_logger_i.i_logger_arbiter.sample_counter_r[23:0]
@1401200
-logger_arbiter393
@c00200
-imu_message393
@28
x393_dut.x393_i.event_logger_i.i_imu_message.we
@22
x393_dut.x393_i.event_logger_i.i_imu_message.wa[3:0]
x393_dut.x393_i.event_logger_i.i_imu_message.din[31:0]
@28
x393_dut.x393_i.event_logger_i.i_imu_message.en
x393_dut.x393_i.event_logger_i.i_imu_message.trig_d[2:0]
x393_dut.x393_i.event_logger_i.i_imu_message.ts
x393_dut.x393_i.event_logger_i.i_imu_message.rdy
x393_dut.x393_i.event_logger_i.i_imu_message.rd_stb
@22
x393_dut.x393_i.event_logger_i.i_imu_message.raddr[4:0]
x393_dut.x393_i.event_logger_i.i_imu_message.rdata[15:0]
@200
-
@1401200
-imu_message393
@c00200
-imu_exttime
@28
x393_dut.x393_i.event_logger_i.i_imu_exttime.en_mclk
@800022
x393_dut.x393_i.event_logger_i.i_imu_exttime.en_chn_mclk[4:0]
@28
(0)x393_dut.x393_i.event_logger_i.i_imu_exttime.en_chn_mclk[4:0]
(1)x393_dut.x393_i.event_logger_i.i_imu_exttime.en_chn_mclk[4:0]
(2)x393_dut.x393_i.event_logger_i.i_imu_exttime.en_chn_mclk[4:0]
(3)x393_dut.x393_i.event_logger_i.i_imu_exttime.en_chn_mclk[4:0]
(4)x393_dut.x393_i.event_logger_i.i_imu_exttime.en_chn_mclk[4:0]
@1001200
-group_end
@800022
x393_dut.x393_i.event_logger_i.i_imu_exttime.in_full[4:0]
@28
(0)x393_dut.x393_i.event_logger_i.i_imu_exttime.in_full[4:0]
(1)x393_dut.x393_i.event_logger_i.i_imu_exttime.in_full[4:0]
(2)x393_dut.x393_i.event_logger_i.i_imu_exttime.in_full[4:0]
(3)x393_dut.x393_i.event_logger_i.i_imu_exttime.in_full[4:0]
(4)x393_dut.x393_i.event_logger_i.i_imu_exttime.in_full[4:0]
@1001200
-group_end
@28
x393_dut.x393_i.event_logger_i.i_imu_exttime.ts
@22
x393_dut.x393_i.event_logger_i.i_imu_exttime.ts_stb[4:0]
x393_dut.x393_i.event_logger_i.i_imu_exttime.ts_data_chn0[7:0]
x393_dut.x393_i.event_logger_i.i_imu_exttime.ts_data_chn4[7:0]
@c00022
x393_dut.x393_i.event_logger_i.i_imu_exttime.ts_got[4:0]
@28
(0)x393_dut.x393_i.event_logger_i.i_imu_exttime.ts_got[4:0]
(1)x393_dut.x393_i.event_logger_i.i_imu_exttime.ts_got[4:0]
(2)x393_dut.x393_i.event_logger_i.i_imu_exttime.ts_got[4:0]
(3)x393_dut.x393_i.event_logger_i.i_imu_exttime.ts_got[4:0]
(4)x393_dut.x393_i.event_logger_i.i_imu_exttime.ts_got[4:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.i_imu_exttime.ts_pend
@22
x393_dut.x393_i.event_logger_i.i_imu_exttime.chn1hot[4:0]
x393_dut.x393_i.event_logger_i.i_imu_exttime.chn_pri_w[4:0]
@28
x393_dut.x393_i.event_logger_i.i_imu_exttime.chn_enc_w[2:0]
x393_dut.x393_i.event_logger_i.i_imu_exttime.sel_chn[2:0]
@200
-
@1401200
-imu_exttime
@c00200
-imu_timestamps
@28
x393_dut.x393_i.event_logger_i.i_imu_timestamps.ts_rcv
@c00022
x393_dut.x393_i.event_logger_i.i_imu_timestamps.cntr[2:0]
@28
(0)x393_dut.x393_i.event_logger_i.i_imu_timestamps.cntr[2:0]
(1)x393_dut.x393_i.event_logger_i.i_imu_timestamps.cntr[2:0]
(2)x393_dut.x393_i.event_logger_i.i_imu_timestamps.cntr[2:0]
@1401200
-group_end
@28
x393_dut.x393_i.event_logger_i.i_imu_timestamps.rcv_last
@22
x393_dut.x393_i.event_logger_i.i_imu_timestamps.ts_data_r[7:0]
x393_dut.x393_i.event_logger_i.i_imu_timestamps.chn[1:0]
@200
-
@c00022
x393_dut.x393_i.event_logger_i.i_imu_timestamps.ts_rq[3:0]
@28
(0)x393_dut.x393_i.event_logger_i.i_imu_timestamps.ts_rq[3:0]
(1)x393_dut.x393_i.event_logger_i.i_imu_timestamps.ts_rq[3:0]
(2)x393_dut.x393_i.event_logger_i.i_imu_timestamps.ts_rq[3:0]
(3)x393_dut.x393_i.event_logger_i.i_imu_timestamps.ts_rq[3:0]
@1401200
-group_end
@800022
x393_dut.x393_i.event_logger_i.i_imu_timestamps.ra[3:0]
@28
(0)x393_dut.x393_i.event_logger_i.i_imu_timestamps.ra[3:0]
(1)x393_dut.x393_i.event_logger_i.i_imu_timestamps.ra[3:0]
(2)x393_dut.x393_i.event_logger_i.i_imu_timestamps.ra[3:0]
(3)x393_dut.x393_i.event_logger_i.i_imu_timestamps.ra[3:0]
@1001200
-group_end
@22
x393_dut.x393_i.event_logger_i.i_imu_timestamps.dout[15:0]
@200
-
@1401200
-imu_timestamps
@800200
-simul_saxi_gp0_wr
@28
x393_dut.simul_saxi_gp0_wr_i.aclk
x393_dut.simul_saxi_gp0_wr_i.awvalid
x393_dut.simul_saxi_gp0_wr_i.awready
@c00022
x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
@28
(0)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(1)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(2)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(3)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(4)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(5)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(6)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(7)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(8)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(9)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(10)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(11)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(12)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(13)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(14)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(15)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(16)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(17)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(18)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(19)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(20)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(21)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(22)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(23)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(24)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(25)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(26)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(27)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(28)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(29)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(30)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
(31)x393_dut.simul_saxi_gp0_wr_i.awaddr[31:0]
@1401200
-group_end
@28
x393_dut.simul_saxi_gp0_wr_i.wlast
x393_dut.simul_saxi_gp0_wr_i.wready
x393_dut.simul_saxi_gp0_wr_i.wvalid
@8022
x393_dut.simul_saxi_gp0_wr_i.wdata[31:0]
@200
-
@28
x393_dut.simul_saxi_gp0_wr_i.sim_wr_valid
@8022
x393_dut.simul_saxi_gp0_wr_i.sim_wr_address[31:0]
x393_dut.simul_saxi_gp0_wr_i.sim_wr_data[31:0]
@c00023
x393_dut.simul_saxi_gp0_wr_i.wlast_d[3:0]
@28
(0)x393_dut.simul_saxi_gp0_wr_i.wlast_d[3:0]
(1)x393_dut.simul_saxi_gp0_wr_i.wlast_d[3:0]
(2)x393_dut.simul_saxi_gp0_wr_i.wlast_d[3:0]
(3)x393_dut.simul_saxi_gp0_wr_i.wlast_d[3:0]
x393_dut.simul_saxi_gp0_wr_i.wlast_nready
@1401201
-group_end
@1000200
-simul_saxi_gp0_wr
@c00200
-saxi_gp0_signals
@22
x393_dut.saxigp0_wr_address[31:0]
x393_dut.saxigp0_wr_data[31:0]
@28
x393_dut.saxigp0_wr_valid
x393_dut.saxi0_aclk
@22
x393_dut.saxigp0_bresp_latency[3:0]
x393_dut.saxigp0_wid[5:0]
x393_dut.saxigp0_wr_qos[3:0]
@28
x393_dut.saxigp0_wr_ready
x393_dut.saxigp0_wr_size[1:0]
@22
x393_dut.saxigp0_wr_stb[3:0]
@1401200
-saxi_gp0_signals
@c00200
-saxi0
@28
x393_dut.x393_i.saxi0_aclk
@22
x393_dut.x393_i.saxi0_awaddr[31:0]
@28
x393_dut.x393_i.saxi0_awburst[1:0]
@22
x393_dut.x393_i.saxi0_awcache[3:0]
x393_dut.x393_i.saxi0_awid[5:0]
x393_dut.x393_i.saxi0_awlen[3:0]
@28
x393_dut.x393_i.saxi0_awlock[1:0]
x393_dut.x393_i.saxi0_awprot[2:0]
@22
x393_dut.x393_i.saxi0_awqos[3:0]
@28
x393_dut.x393_i.saxi0_awready
x393_dut.x393_i.saxi0_awsize[1:0]
x393_dut.x393_i.saxi0_awvalid
@22
x393_dut.x393_i.saxi0_bid[5:0]
@28
x393_dut.x393_i.saxi0_bready
x393_dut.x393_i.saxi0_bresp[1:0]
x393_dut.x393_i.saxi0_bvalid
@22
x393_dut.x393_i.saxi0_wdata[31:0]
x393_dut.x393_i.saxi0_wid[5:0]
@28
x393_dut.x393_i.saxi0_wlast
x393_dut.x393_i.saxi0_wready
@22
x393_dut.x393_i.saxi0_wstrb[3:0]
@28
x393_dut.x393_i.saxi0_wvalid
@200
-debug
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.wdata_fake_inc
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.wdata_fake[31:0]
x393_dut.x393_i.sensors393_i.histogram_saxi_i.page_ra[7:0]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.pages_in_buf_rd[2:0]
x393_dut.x393_i.sensors393_i.histogram_saxi_i.page_read_run
x393_dut.x393_i.sensors393_i.histogram_saxi_i.buf_re_w
(0)x393_dut.x393_i.sensors393_i.histogram_saxi_i.buf_re[2:0]
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_nempty
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_half_full
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_re
@1401200
-saxi0
@c00200
-sensor0_hist
@22
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.frame_num_seq[3:0]
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.gamma_sof_out
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.gamma_eof_out
@200
-
@1401200
-sensor0_hist
@c00200
-sensor_hist_mux0
@22
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_nrst[3:0]
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_frame0[3:0]
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_do0[31:0]
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_dv[3:0]
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_dvalid
@22
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_data[31:0]
@1401200
-sensor_hist_mux0
@c00200
-senors_hist
@22
x393_dut.x393_i.sensors393_i.hist_request[3:0]
x393_dut.x393_i.sensors393_i.hist_grant[3:0]
x393_dut.x393_i.sensors393_i.hist_chn[7:0]
x393_dut.x393_i.sensors393_i.hist_dvalid[3:0]
x393_dut.x393_i.sensors393_i.hist_data[127:0]
@c00022
x393_dut.x393_i.sensors393_i.hist_frame[15:0]
@28
(0)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(1)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(2)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(3)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(4)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(5)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(6)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(7)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(8)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(9)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(10)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(11)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(12)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(13)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(14)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
(15)x393_dut.x393_i.sensors393_i.hist_frame[15:0]
@1401200
-group_end
-senors_hist
@c00200
-histogram_saxi_upstream
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_request0
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_request1
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_request2
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_request3
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_grant0
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_grant1
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_grant2
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_grant3
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_chn0[1:0]
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_data0[31:0]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_dvalid0
@1401200
-histogram_saxi_upstream
@800200
-histogram_saxi
@200
-fifo_wdata
@c00028
x393_dut.x393_i.sensors393_i.histogram_saxi_i.buf_re[2:0]
@28
(0)x393_dut.x393_i.sensors393_i.histogram_saxi_i.buf_re[2:0]
(1)x393_dut.x393_i.sensors393_i.histogram_saxi_i.buf_re[2:0]
(2)x393_dut.x393_i.sensors393_i.histogram_saxi_i.buf_re[2:0]
@1401200
-group_end
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_same_clock_i.we
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_same_clock_i.fill[3:0]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_same_clock_i.ram_nempty
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_same_clock_i.rem
[color] 2
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_nempty
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_same_clock_i.re
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_same_clock_i.rem
@8022
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_same_clock_i.outreg[31:0]
@200
-
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.saxi_awaddr[31:0]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.saxi_awvalid
x393_dut.x393_i.sensors393_i.histogram_saxi_i.saxi_awready
x393_dut.x393_i.sensors393_i.histogram_saxi_i.saxi_start_burst
@200
-
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.fifo_re
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.saxi_wdata[31:0]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.saxi_wvalid
x393_dut.x393_i.sensors393_i.histogram_saxi_i.saxi_wready
x393_dut.x393_i.sensors393_i.histogram_saxi_i.saxi_wlast
x393_dut.x393_i.sensors393_i.histogram_saxi_i.first_burst
@800022
x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_start_r[3:0]
@28
(0)x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_start_r[3:0]
(1)x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_start_r[3:0]
(2)x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_start_r[3:0]
(3)x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_start_r[3:0]
@1001200
-group_end
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.start_addr_r[31:6]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.mclk
x393_dut.x393_i.sensors393_i.histogram_saxi_i.aclk
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.cmd_data[31:0]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.we_mode
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.mode[7:0]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.confirm_write
@8022
x393_dut.x393_i.sensors393_i.histogram_saxi_i.num_bursts_pending[4:0]
@c08022
x393_dut.x393_i.sensors393_i.histogram_saxi_i.num_bursts_in_buf[4:0]
@28
(0)x393_dut.x393_i.sensors393_i.histogram_saxi_i.num_bursts_in_buf[4:0]
(1)x393_dut.x393_i.sensors393_i.histogram_saxi_i.num_bursts_in_buf[4:0]
(2)x393_dut.x393_i.sensors393_i.histogram_saxi_i.num_bursts_in_buf[4:0]
(3)x393_dut.x393_i.sensors393_i.histogram_saxi_i.num_bursts_in_buf[4:0]
(4)x393_dut.x393_i.sensors393_i.histogram_saxi_i.num_bursts_in_buf[4:0]
@1401200
-group_end
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.en
x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_start_w
x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_end
@c00022
x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_run[3:0]
@28
(0)x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_run[3:0]
(1)x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_run[3:0]
(2)x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_run[3:0]
(3)x393_dut.x393_i.sensors393_i.histogram_saxi_i.block_run[3:0]
@1401200
-group_end
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.frame0[3:0]
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_data0[31:0]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_dvalid0
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_data1[31:0]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_dvalid1
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_data2[31:0]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_dvalid2
@22
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_data3[31:0]
@28
x393_dut.x393_i.sensors393_i.histogram_saxi_i.hist_dvalid3
@1000200
-histogram_saxi
@800200
-sen sor_channel
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.sens_parallel12_i.ipclk_pre
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.sens_parallel12_i.ipclk2x_pre
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.sof
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.eof
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hact
@c00022
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_en[3:0]
@28
(0)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_en[3:0]
(1)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_en[3:0]
(2)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_en[3:0]
(3)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_en[3:0]
@1401200
-group_end
@22
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_frame0[3:0]
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.hist_grant
@1000200
-sen sor_channel
@800200
-sens_histogram_mux
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.sens_histogram_mux_i.en
@1000200
-sens_histogram_mux
@800200
-sens_histogram_0
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.pclk
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hist_en
@c00022
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.frame_num_seq[3:0]
@28
(0)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.frame_num_seq[3:0]
(1)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.frame_num_seq[3:0]
(2)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.frame_num_seq[3:0]
(3)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.frame_num_seq[3:0]
@1401200
-group_end
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.sof
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.eof
@22
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hist_di[7:0]
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.bayer[1:0]
@22
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.px_d0[7:0]
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.regen_even
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.set_left_top_pclk
@22
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.top[15:0]
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.top_margin
@8022
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.vcntr[15:0]
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.vcntr_zero_w
@22
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hcntr[15:0]
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hcntr_zero_w
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.vert_woi
@c08022
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hor_woi[6:0]
@28
(0)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hor_woi[6:0]
(1)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hor_woi[6:0]
(2)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hor_woi[6:0]
(3)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hor_woi[6:0]
(4)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hor_woi[6:0]
(5)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hor_woi[6:0]
(6)x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.hor_woi[6:0]
@1401200
-group_end
@28
x393_dut.x393_i.sensors393_i.sensor_channel_block[0].sensor_channel_i.genblk1.sens_histogram_0_i.line_start_w
@200
-
@1000200
-sens_histogram_0
[pattern_trace] 1
[pattern_trace] 0
......@@ -35,8 +35,25 @@
* contains all the components and scripts required to completely simulate it
* with at least one of the Free Software programs.
*/
parameter FPGA_VERSION = 32'h03931005; // parallel, converting from 32'h0393401a
// parameter FPGA_VERSION = 32'h0393401a; // adding strobe output for IMX-5 on ext-5
parameter FPGA_VERSION = 32'h03931016; // debugging histograms - MOD_SAXI on, restore actual histogram data
// parameter FPGA_VERSION = 32'h03931015; // debugging histograms - MOD_SAXI on, fixed some bugs related to inactive cycle with page_ra == 8'hff - works?
// parameter FPGA_VERSION = 32'h03931014; // debugging histograms - MOD_SAXI on, updates sim_saxi to match hardware (wlast disables wready, smaller fifo)
// parameter FPGA_VERSION = 32'h03931013; // debugging histograms - trying to re-enable FAKE_WDATA1 top word - timer, lower - page address - OK
// parameter FPGA_VERSION = 32'h03931012; // debugging histograms - trying to re-enable FAKE_WDATA1 - failed
// parameter FPGA_VERSION = 32'h03931011; // debugging histograms - disabled FAKE_WDATA1 - works
// parameter FPGA_VERSION = 32'h03931010; // debugging histograms - define FAKE_WDATA1, wdata_fake_r <= {start_addr_r[21:6], wdata_fake[15:0]};
// parameter FPGA_VERSION = 32'h0393100f; // debugging histograms - high 16 bits - always count with MOD_SAXI, getting stuck
// parameter FPGA_VERSION = 32'h0393100e; // debugging histograms - high 16 bits - always count
// parameter FPGA_VERSION = 32'h0393100d; // debugging histograms - counts aw saxi_wvalid && saxi_wready - extra buffer
// parameter FPGA_VERSION = 32'h0393100c; // debugging histograms - counts aw saxi_wvalid && saxi_wready
// parameter FPGA_VERSION = 32'h0393100b; // debugging histograms - counts aw saxi_awvalid && saxi_awready
// parameter FPGA_VERSION = 32'h0393100a; // reducing saxi speed from 150 to 100 MHz (both histograms and the logger)
// parameter FPGA_VERSION = 32'h03931009; // trying to boost SAXI (clock 190 instead of 150) with set_clock_uncertainty - got stuck (maybe my fault)
// parameter FPGA_VERSION = 32'h03931008; // trying to boost SAXI (clock 200 instead of 150) seems OK
// parameter FPGA_VERSION = 32'h03931007; // changed histogram_saxi - still fails
// parameter FPGA_VERSION = 32'h03931006; // parallel 03931006A - good, 03931006 - bad. will investigate
// parameter FPGA_VERSION = 32'h03931005; // parallel, converting from 32'h0393401a
// parameter FPGA_VERSION = 32'h0393401a; // adding strobe output for IMX-5 on ext-5
// parameter FPGA_VERSION = 32'h03934019; // Boson640, logger debug disabled
// parameter FPGA_VERSION = 32'h03934018; // Boson640, debugging logger 02
// parameter FPGA_VERSION = 32'h03934017; // Boson640, debugging logger 01
......
......@@ -596,7 +596,7 @@ module sensors393 #(
// S_AXI interface write only (histograms out)
// write address
input aclk, // global clock for S_AXI0 (150 MHz)
input aclk, // global clock for S_AXI0 (150 MHz) saxi0_aclk
output [31:0] saxi_awaddr, // AXI PS Slave GP0 AWADDR[31:0], input
output saxi_awvalid, // AXI PS Slave GP0 AWVALID, input
input saxi_awready, // AXI PS Slave GP0 AWREADY, output
......
......@@ -79,10 +79,14 @@ module simul_saxi_gp_wr(
input [ 3:0] sim_bresp_latency, // latency in writing data outside of the module
output [ 3:0] sim_wr_qos
);
localparam AW_FIFO_DEPTH = 3; // FIFO number of address bits to fit AW_FIFO_NUM (number is one bit wider)
localparam W_FIFO_DEPTH = 3; // FIFO number of address bits to fit W_FIFO_NUM
localparam [AW_FIFO_DEPTH:0] AW_FIFO_NUM = 8; // Maximal number of words in AW FIFO 8-words
localparam [W_FIFO_DEPTH:0] W_FIFO_NUM = 8; // Maximal number of words in AW 8-words
// TODO change these localparam to parameters
localparam AW_FIFO_DEPTH = 2; // 7; //3; // FIFO number of address bits to fit AW_FIFO_NUM (number is one bit wider)
localparam W_FIFO_DEPTH = 3; // 2; // 7; //3; // FIFO number of address bits to fit W_FIFO_NUM
localparam WREADY_DELAY_AFTER_LAST = 3; // negate wready for these number of clocks after wlast (0..7)
localparam [AW_FIFO_DEPTH:0] AW_FIFO_NUM = 1 << AW_FIFO_DEPTH; // 128; // 8; // Maximal number of words in AW FIFO 8-words
localparam [W_FIFO_DEPTH:0] W_FIFO_NUM = 1 << W_FIFO_DEPTH; // 8; // Maximal number of words in AW 8-words
localparam VALID_AWLOCK = 2'b0; // TODO
......@@ -98,7 +102,8 @@ To make it work, I set the (AR/AW)CACHE=0x11 and (AR/AW)PROT=0x00. In the CDMA d
The default values set by VHLS were 0x00 and 0x10 respectively, which is also the case in the last post.
Alex
*/
reg [WREADY_DELAY_AFTER_LAST : 0] wlast_d = 0; // [3:0] extra bit, but should work with WREADY_DELAY_AFTER_LAST == 0
wire wlast_nready;
wire aw_nempty;
wire w_nempty;
reg [11:0] next_wr_address_w; // bits that are incremented in 32-bit mode (higher are kept according to AXI 4KB inc. limit)
......@@ -140,10 +145,18 @@ Alex
// priority transactions are backed up behind it." Whqt about demotion? Assuming it is not demoted
assign aresetn= ~rst; // probably not needed at all - docs say "do not use"
assign wlast_nready = (((1 << WREADY_DELAY_AFTER_LAST) -1) & wlast_d) != 0;
// generate ready signals for address and data
// assign wready= !wcount[7] && (!(&wcount[6:0]) || !fifo_data_we_d);
assign wready = (wcount < W_FIFO_NUM) && ((wcount < (W_FIFO_NUM-1)) || !fifo_data_we_d);
assign wready = ((wcount < W_FIFO_NUM) && ((wcount < (W_FIFO_NUM-1)) || !fifo_data_we_d)) && !wlast_nready;
always @ (posedge rst or posedge aclk) begin
if (rst) wlast_d<=0;
else wlast_d <= (wlast_d << 1) | {{WREADY_DELAY_AFTER_LAST{1'b0}}, (wlast & wready & wvalid)};
end
always @ (posedge rst or posedge aclk) begin
if (rst) fifo_data_we_d<=0;
else fifo_data_we_d <= wready && wvalid;
......
......@@ -65,7 +65,9 @@
`define DISPLAY_COMPRESSED_DATA
// if specific sesnor is not defined, parallel sensor interface is used for all channels
/*************** CHANGE here and x393_hispi | x393_parallel | x393_lwir | x393_boson in bitstream (and few other) tool settings ****************/
// `define BOSON 1
// `define BO-SON 1
// `define BO-SON_REVA 1 /* 103993 REVA board*/ // need to comment both - TCL recognizes as "BO-SON"
// `define LWIR
// `define HISPI
// also change in bitstream, utilization and timimg summary tools (x393_parallel_utilization.report, ...)
......@@ -82,7 +84,6 @@
`ifdef BOSON
`define PCLK_MASTER /* pclk is generated by the sensors, no global pclk and prst!*/
`define BOSON_REVA 1 /* 103993 REVA board*/
`endif
`define MON_HISPI // Measure HISPI timing
// `define USE_OLD_XDCT393
......
......@@ -1712,7 +1712,7 @@ assign axi_grst = axi_rst_pre;
);
// SAXIGP0 signals (read unused) (for the histograms)
wire saxi0_aclk = hclk; // 150KHz
wire saxi0_aclk = camsync_clk; // hclk; // 150KHz -> 100 MHz
wire [31:0] saxi0_awaddr;
wire saxi0_awvalid;
wire saxi0_awready;
......@@ -1736,7 +1736,7 @@ assign axi_grst = axi_rst_pre;
wire [ 1:0] saxi0_bresp;
// SAXIGP1 signals (read unused) (for the event logger - has 3 spare channels for write)
wire saxi1_aclk = hclk; // 150KHz
wire saxi1_aclk = camsync_clk; // hclk; // 150KHz -> 100 MHz
wire [31:0] saxi1_awaddr;
wire saxi1_awvalid;
wire saxi1_awready;
......@@ -2633,7 +2633,7 @@ assign axi_grst = axi_rst_pre;
) event_logger_i (
// .rst (axi_rst), // input
.mclk (mclk), // input
.xclk (logger_clk), // input
.xclk (logger_clk), // input // 100 MHz
.mrst (mrst), // input
.xrst (lrst), // input
.cmd_ad (cmd_logger_ad), // input[7:0]
......@@ -2673,8 +2673,8 @@ assign axi_grst = axi_rst_pre;
.mclk (mclk), // input
.en (logger_saxi_en), // input
.iclk (mclk), // input
.data_in (logger_out), // input[15:0]
.valid (logger_stb), // input
.data_in (logger_out), // input[15:0] @posedge iclk input data
.valid (logger_stb), // input @posedge iclk input data valid
.has_burst (logger_has_burst), // output reg
.read_burst (logger_read_burst), // input
.data_out (logger_data32), // output[31:0]
......
No preview for this file type
This source diff could not be displayed because it is too large. You can view the blob instead.
Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------------
| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
| Date : Thu Mar 9 11:38:40 2023
| Date : Wed Mar 15 12:53:56 2023
| Host : elphel-desktop running 64-bit Ubuntu 14.04.5 LTS
| Command : report_utilization -file vivado_build/x393_parallel_utilization.report
| Design : x393
......@@ -31,13 +31,13 @@ Table of Contents
+----------------------------+-------+-------+-----------+-------+
| Site Type | Used | Fixed | Available | Util% |
+----------------------------+-------+-------+-----------+-------+
| Slice LUTs | 41694 | 0 | 78600 | 53.05 |
| LUT as Logic | 38299 | 0 | 78600 | 48.73 |
| LUT as Memory | 3395 | 0 | 26600 | 12.76 |
| Slice LUTs | 41831 | 0 | 78600 | 53.22 |
| LUT as Logic | 38434 | 0 | 78600 | 48.90 |
| LUT as Memory | 3397 | 0 | 26600 | 12.77 |
| LUT as Distributed RAM | 2858 | 0 | | |
| LUT as Shift Register | 537 | 0 | | |
| Slice Registers | 54275 | 0 | 157200 | 34.53 |
| Register as Flip Flop | 54275 | 0 | 157200 | 34.53 |
| LUT as Shift Register | 539 | 0 | | |
| Slice Registers | 54280 | 0 | 157200 | 34.53 |
| Register as Flip Flop | 54280 | 0 | 157200 | 34.53 |
| Register as Latch | 0 | 0 | 157200 | 0.00 |
| F7 Muxes | 54 | 0 | 39300 | 0.14 |
| F8 Muxes | 0 | 0 | 19650 | 0.00 |
......@@ -58,8 +58,8 @@ Table of Contents
| 0 | Yes | - | - |
| 16 | Yes | - | Set |
| 693 | Yes | - | Reset |
| 965 | Yes | Set | - |
| 52601 | Yes | Reset | - |
| 964 | Yes | Set | - |
| 52607 | Yes | Reset | - |
+-------+--------------+-------------+--------------+
......@@ -69,27 +69,27 @@ Table of Contents
+-------------------------------------------+-------+-------+-----------+-------+
| Site Type | Used | Fixed | Available | Util% |
+-------------------------------------------+-------+-------+-----------+-------+
| Slice | 16481 | 0 | 19650 | 83.87 |
| SLICEL | 10863 | 0 | | |
| SLICEM | 5618 | 0 | | |
| LUT as Logic | 38299 | 0 | 78600 | 48.73 |
| using O5 output only | 5 | | | |
| using O6 output only | 29710 | | | |
| using O5 and O6 | 8584 | | | |
| LUT as Memory | 3395 | 0 | 26600 | 12.76 |
| Slice | 16512 | 0 | 19650 | 84.03 |
| SLICEL | 10885 | 0 | | |
| SLICEM | 5627 | 0 | | |
| LUT as Logic | 38434 | 0 | 78600 | 48.90 |
| using O5 output only | 3 | | | |
| using O6 output only | 29881 | | | |
| using O5 and O6 | 8550 | | | |
| LUT as Memory | 3397 | 0 | 26600 | 12.77 |
| LUT as Distributed RAM | 2858 | 0 | | |
| using O5 output only | 2 | | | |
| using O6 output only | 108 | | | |
| using O5 and O6 | 2748 | | | |
| LUT as Shift Register | 537 | 0 | | |
| using O5 output only | 249 | | | |
| using O6 output only | 236 | | | |
| using O5 and O6 | 52 | | | |
| LUT Flip Flop Pairs | 24440 | 0 | 78600 | 31.09 |
| fully used LUT-FF pairs | 4583 | | | |
| LUT-FF pairs with one unused LUT output | 17721 | | | |
| LUT-FF pairs with one unused Flip Flop | 17494 | | | |
| Unique Control Sets | 4658 | | | |
| LUT as Shift Register | 539 | 0 | | |
| using O5 output only | 256 | | | |
| using O6 output only | 233 | | | |
| using O5 and O6 | 50 | | | |
| LUT Flip Flop Pairs | 24431 | 0 | 78600 | 31.08 |
| fully used LUT-FF pairs | 4596 | | | |
| LUT-FF pairs with one unused LUT output | 17731 | | | |
| LUT-FF pairs with one unused Flip Flop | 17547 | | | |
| Unique Control Sets | 4592 | | | |
+-------------------------------------------+-------+-------+-----------+-------+
* Note: Review the Control Sets Report for more information regarding control sets.
......@@ -197,17 +197,17 @@ Table of Contents
+------------------------+-------+----------------------+
| Ref Name | Used | Functional Category |
+------------------------+-------+----------------------+
| FDRE | 52601 | Flop & Latch |
| LUT3 | 11385 | LUT |
| LUT6 | 10143 | LUT |
| LUT2 | 8260 | LUT |
| LUT4 | 7858 | LUT |
| LUT5 | 7614 | LUT |
| FDRE | 52607 | Flop & Latch |
| LUT3 | 11410 | LUT |
| LUT6 | 10331 | LUT |
| LUT2 | 8166 | LUT |
| LUT4 | 7799 | LUT |
| LUT5 | 7655 | LUT |
| RAMD32 | 4174 | Distributed Memory |
| CARRY4 | 2809 | CarryLogic |
| LUT1 | 1623 | LUT |
| RAMS32 | 1408 | Distributed Memory |
| FDSE | 965 | Flop & Latch |
| FDSE | 964 | Flop & Latch |
| FDCE | 693 | Flop & Latch |
| SRL16E | 485 | Distributed Memory |
| OBUFT | 121 | IO |
......
......@@ -83,10 +83,19 @@ create_generated_clock -name ddr3_clk [get_nets -hierarchical clk_pre ]
create_generated_clock -name ddr3_clk_div [get_nets -hierarchical clk_div_pre ]
create_generated_clock -name ddr3_mclk [get_nets -hierarchical mclk_pre]
create_generated_clock -name ddr3_clk_ref [get_nets clocks393_i/dly_ref_clk_pre ]
create_generated_clock -name axihp_clk [get_nets clocks393_i/hclk_pre ]
# trying to boost SAXI performance by forcing higher axihp_clk (5 ns instead of 6.667)
# create_clock -name axihp_clk -period 5 [get_nets clocks393_i/hclk_pre ]
# Will add/subtract to 6.667 ns period 150ns -> 190
# set_clock_uncertainty 1.4 [get_generated_clocks {axihp_clk}]
# Switched both SAXI0 and SAXI1 to 100 MHz from 150 MHz
create_generated_clock -name xclk [get_nets clocks393_i/xclk_pre ]
#clock for inter - camera synchronization and event logger
#clock for inter - camera synchronization and event logger (now for SAXI0/1 also, was 150)
create_generated_clock -name sclk [get_nets clocks393_i/sync_clk_pre ]
create_clock -name ffclk0 -period 41.667 [get_ports {ffclk0p}]
#Generated clocks are assumed to be tied to clkin1 (not 2), so until external ffclk0 is constrained, derivative clocks are not generated
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment