Commit c9ce9dff authored by Andrey Filippov's avatar Andrey Filippov

removed demo tools, added tool configs versions

parent 4a010b7b
...@@ -26,8 +26,7 @@ ...@@ -26,8 +26,7 @@
* as well as that of the covered work.} * as well as that of the covered work.}
*******************************************************************************/ *******************************************************************************/
--> -->
<vdt-project> <vdt-project version="1.0">
<!-- ===== Basic interface ===== --> <!-- ===== Basic interface ===== -->
<interface name = "BasicInterface"> <interface name = "BasicInterface">
......
...@@ -26,7 +26,7 @@ ...@@ -26,7 +26,7 @@
* as well as that of the covered work.} * as well as that of the covered work.}
*******************************************************************************/ *******************************************************************************/
--> -->
<vdt-project> <vdt-project version="1.0">
<menu name="MainDesignMenu" <menu name="MainDesignMenu"
label="Verilog Tools Menu" label="Verilog Tools Menu"
icon="setup.png" icon="setup.png"
...@@ -221,90 +221,5 @@ ...@@ -221,90 +221,5 @@
</menu> </menu>
</menu> </menu>
<menu name="MainDesignMenu2"
label="Design Menu 2"
icon="sample.gif"
tip="This is an user menu"
inherits="MainDesignMenu">
<menu name="Python tests"
label="Tests with remote Python console"
icon="python.png">
<menuitem name="RemotePython"
label="Run remote Python session"
icon="python.png"
call="RemotePython"/>
<menuitem name="RemotePythonCommand"
label="Send a command to the remote Python session"
icon="my_tool.gif"
call="RemotePythonCommand"/>
</menu>
<menu name="OtherStuff"
label="Various Sample Tools">
<menuitem name="tool1"
label="MyTool_exe"
icon="my_tool.gif"
call="MyTool_exe"/>
<menuitem name="tool2"
label="MyTool2_exe"
icon="my_tool.gif"
call="MyTool2_exe"/>
<menuitem name="tool3"
label="MyTool"
icon="my_tool.gif"
call="MyTool"/>
<menuitem name="Test VDT"
label="Testing some VDT features"
icon="sample.gif"
call="VDTTest"/>
<!--
<menuitem name="Test VDT-A"
label="Testing some VDT features"
icon="sample.gif"
tool-instance="VDTTest_inst2"
call="VDTTest"/>
-->
<menuitem name="Test VDT1"
label="Testing some VDT features - variant 1"
icon="sample.gif"
call="VDTTest1"/>
</menu>
</menu>
<menu name="MainDesignMenu3"
label="Design Menu 3"
icon="sample.gif"
tip="This is another custom user menu"
inherits="MainDesignMenu2">
<menu name="JustAnotherStuff"
label="Just Another Stuff"
icon="sample.gif"
inherits="MainDesignMenu2"
after="Python tests"/>
<menuitem name="GREP"
label="Run GREP"
call="grep"/>
<menu name="Python tests"
label="Tests with remote Python console"
icon="python.png">
<menuitem name="RemotePython"
label="Run remote Python session"
icon="python.png"
call="RemotePython"/>
<menuitem name="RemotePythonCommand"
label="Send a command to the remote Python session"
icon="my_tool.gif"
call="RemotePythonCommand"/>
</menu>
</menu>
</vdt-project> </vdt-project>
...@@ -26,7 +26,7 @@ ...@@ -26,7 +26,7 @@
* as well as that of the covered work.} * as well as that of the covered work.}
*******************************************************************************/ *******************************************************************************/
--> -->
<vdt-project> <vdt-project version="1.0">
<interface name="FPGAPprojectInterface"> <interface name="FPGAPprojectInterface">
<syntax name="RemoteRootSyntax" format="%%ParamValue/%%ProjectName" /> <syntax name="RemoteRootSyntax" format="%%ParamValue/%%ProjectName" />
<syntax name="ProgramSyntax" format="%(%%ParamValue%|\n%)" /> <syntax name="ProgramSyntax" format="%(%%ParamValue%|\n%)" />
...@@ -297,8 +297,8 @@ ...@@ -297,8 +297,8 @@
<parameter id="ISEIgnoreSource" label="Ignore source files" tooltip="Pattern to ignore source files that match this regular expression" <parameter id="ISEIgnoreSource" label="Ignore source files" tooltip="Pattern to ignore source files that match this regular expression"
type="String" default=".*unisims.*" format="CopyValue" readonly="false" /> type="String" default=".*unisims.*" format="CopyValue" readonly="false" />
<!-- Quartus parameters --> <!-- Quartus parameters -->
<parameter id="part" label="Device" tooltip= "FPGA part number (device) to use" <!-- <parameter id="part" label="Device" tooltip= "FPGA part number (device) to use"
default="" visible="true" omit="" type="String" format="CopyValue"/> default="" visible="true" omit="" type="String" format="CopyValue"/> -->
<parameter id="QuartusProjectRoot" label="Workspace directory" tooltip="Relative (to user home directory) path of the workspace on Quartus server" <parameter id="QuartusProjectRoot" label="Workspace directory" tooltip="Relative (to user home directory) path of the workspace on Quartus server"
type="String" default="vdt" format="RemoteRootSyntax" readonly="false" /> type="String" default="vdt" format="RemoteRootSyntax" readonly="false" />
......
...@@ -26,7 +26,7 @@ ...@@ -26,7 +26,7 @@
* as well as that of the covered work.} * as well as that of the covered work.}
*******************************************************************************/ *******************************************************************************/
--> -->
<vdt-project> <vdt-project version="1.0">
<interface name = "installation_interface"> <interface name = "installation_interface">
<typedef name = "OSKind"> <typedef name = "OSKind">
<paramtype kind="enum" base="String"> <paramtype kind="enum" base="String">
......
...@@ -26,8 +26,7 @@ ...@@ -26,8 +26,7 @@
* as well as that of the covered work.} * as well as that of the covered work.}
*******************************************************************************/ *******************************************************************************/
--> -->
<vdt-project> <vdt-project version="0.8">
<interface name="Cocotb" extends="FPGAPprojectInterface"> <interface name="Cocotb" extends="FPGAPprojectInterface">
<!-- Syntax definitions --> <!-- Syntax definitions -->
<typedef name="CocotbSimulatorsType"> <typedef name="CocotbSimulatorsType">
...@@ -255,9 +254,9 @@ ...@@ -255,9 +254,9 @@
<!-- <parameter id="Param_SourceList" label="Param_SourceList" <!-- <parameter id="Param_SourceList" label="Param_SourceList"
type="Stringlist" format="SourceListSyntax" default="" readonly="true" type="Stringlist" format="SourceListSyntax" default="" readonly="true"
visible="true" /> --> visible="true" />
<parameter id="SourceListPar" label="Param_SourceList" type="Filelist" <parameter id="SourceListPar" label="Param_SourceList" type="Filelist"
format="ParamListSyntax" default="%%FilteredSourceList" readonly="true" visible="false" /> format="ParamListSyntax" default="%%FilteredSourceList" readonly="true" visible="false" /> -->
<parameter id="ShowNoProblem" type="BoolYesNo" format="None" <parameter id="ShowNoProblem" type="BoolYesNo" format="None"
......
...@@ -26,8 +26,7 @@ ...@@ -26,8 +26,7 @@
* as well as that of the covered work.} * as well as that of the covered work.}
*******************************************************************************/ *******************************************************************************/
--> -->
<vdt-project> <vdt-project version="1.1">
<interface name="IVerilog" extends="FPGAPprojectInterface"> <interface name="IVerilog" extends="FPGAPprojectInterface">
<!-- Syntax definitions --> <!-- Syntax definitions -->
...@@ -182,9 +181,9 @@ ...@@ -182,9 +181,9 @@
<!-- <parameter id="Param_SourceList" label="Param_SourceList" <!-- <parameter id="Param_SourceList" label="Param_SourceList"
type="Stringlist" format="SourceListSyntax" default="" readonly="true" type="Stringlist" format="SourceListSyntax" default="" readonly="true"
visible="true" /> --> visible="true" />
<parameter id="SourceListPar" label="Param_SourceList" type="Filelist" <parameter id="SourceListPar" label="Param_SourceList" type="Filelist"
format="ParamListSyntax" default="%%FilteredSourceList" readonly="true" visible="false" /> format="ParamListSyntax" default="%%FilteredSourceList" readonly="true" visible="false" /> -->
<parameter id="ShowNoProblem" type="BoolYesNo" format="None" <parameter id="ShowNoProblem" type="BoolYesNo" format="None"
......
...@@ -26,7 +26,7 @@ ...@@ -26,7 +26,7 @@
* as well as that of the covered work.} * as well as that of the covered work.}
*******************************************************************************/ *******************************************************************************/
--> -->
<vdt-project> <vdt-project version="1.1">
<interface name="VivadoSynthesisInterface" extends="VivadoInterface"> <interface name="VivadoSynthesisInterface" extends="VivadoInterface">
<syntax name="read_xdc_syntax" format="%(read_xdc -unmanaged %%ParamValue%|\n%)" /> <syntax name="read_xdc_syntax" format="%(read_xdc -unmanaged %%ParamValue%|\n%)" />
<typedef name="FlattenHierarchyType"> <typedef name="FlattenHierarchyType">
......
...@@ -43,7 +43,7 @@ ...@@ -43,7 +43,7 @@
<action-menu> <action-menu>
<action label="Report post-synthesis timing summary" resource="" icon="clock_sum.png" /> <action label="Report post-synthesis timing summary" resource="" icon="clock_sum.png" />
</action-menu> </action-menu>
<parameter id="DisableVivadoTimingSummary"/> <!-- <parameter id="DisableVivadoTimingSummary"/> -->
<parameter id="DisableVivadoTimingSummary" label="Disable" tooltip="Disable post-synthesis timing summary report" <parameter id="DisableVivadoTimingSummary" label="Disable" tooltip="Disable post-synthesis timing summary report"
default="false" type= "Boolean" format="None"/> default="false" type= "Boolean" format="None"/>
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment