Commit b418558a authored by Andrey Filippov's avatar Andrey Filippov

Added route tool, minor changes in the existent ones

parent f6688e88
...@@ -22,6 +22,10 @@ ...@@ -22,6 +22,10 @@
<paramtype kind="bool" formatTrue="On" formatFalse="Off" /> <paramtype kind="bool" formatTrue="On" formatFalse="Off" />
</typedef> </typedef>
<typedef name = "Bool_on_off">
<paramtype kind="bool" formatTrue="on" formatFalse="off" />
</typedef>
<typedef name = "Cardinal"> <typedef name = "Cardinal">
<paramtype kind="number" lo="0" hi="65535" format="%d" /> <paramtype kind="number" lo="0" hi="65535" format="%d" />
</typedef> </typedef>
...@@ -82,12 +86,14 @@ ...@@ -82,12 +86,14 @@
</typedef> </typedef>
<!-- Syntax definitions --> <!-- Syntax definitions -->
<syntax name="None" format="" /> <syntax name="None" format="" />
<syntax name="CopyValue" format="%%ParamValue" /> <syntax name="CopyValue" format="%%ParamValue" />
<syntax name="ParamListSyntax" format="%(%%ParamValue%| %)" /> <syntax name="ParamListSyntax" format="%(%%ParamValue%| %)" />
<syntax name="Dash" format=" -%%ParamName %%ParamValue" /> <syntax name="Dash" format=" -%%ParamName %%ParamValue" />
<syntax name="DashList" format=" -%%ParamName %(-s%%ParamValue%| %)" /> <!-- <syntax name="DashList" format=" -%%ParamName %(-s%%ParamValue%| %)" /> -->
<syntax name="DashName" format=" -%%ParamName" /> <syntax name="DashListIndividual" format="%(-%%ParamName %%ParamValue%| %)" />
<syntax name="DashListCommon" format="-%%ParamName %(%%ParamValue%| %)" />
<syntax name="DashName" format=" -%%ParamName" />
</interface> </interface>
......
...@@ -52,6 +52,10 @@ ...@@ -52,6 +52,10 @@
label="Optimize and place design" label="Optimize and place design"
icon="mondrian2x2.png" icon="mondrian2x2.png"
call="VivadoOptPlace"/> call="VivadoOptPlace"/>
<menuitem name="VivadoRoute"
label="Route design"
icon="route66.png"
call="VivadoRoute"/>
<menuitem name="Vivado Test" <menuitem name="Vivado Test"
label="Send a 'Hello World' command to the remote Vivado session" label="Send a 'Hello World' command to the remote Vivado session"
icon="my_tool.gif" icon="my_tool.gif"
......
...@@ -124,6 +124,12 @@ ...@@ -124,6 +124,12 @@
<parameter id="SnapshotOptPlace" <parameter id="SnapshotOptPlace"
label="Placement snapshot" tooltip="Name of Vivado snapshot archive after optimization/placement" label="Placement snapshot" tooltip="Name of Vivado snapshot archive after optimization/placement"
default="%%ProjectName-opt-pace.dcp" default="%%ProjectName-opt-pace.dcp"
type="String" format="CopyValue" />
<parameter id="SnapshotRoute"
label="Routing snapshot" tooltip="Name of Vivado snapshot archive after routing"
default="%%ProjectName-route.dcp"
type="String" format="CopyValue" /> type="String" format="CopyValue" />
"" <!-- same as in project --> "" <!-- same as in project -->
...@@ -156,6 +162,7 @@ ...@@ -156,6 +162,7 @@
<group name="VivadoSnapshots" label="Vivado snapshot archive names"> <group name="VivadoSnapshots" label="Vivado snapshot archive names">
"SnapshotSynth" "SnapshotSynth"
"SnapshotOptPlace" "SnapshotOptPlace"
"SnapshotRoute"
</group> </group>
</input> </input>
<output> <output>
......
...@@ -43,6 +43,9 @@ ...@@ -43,6 +43,9 @@
<parameter id="SSHExtra" label="ssh extra parameters" type="String" <parameter id="SSHExtra" label="ssh extra parameters" type="String"
format="CopyValue" default="" readonly="false" visible="true" /> format="CopyValue" default="" readonly="false" visible="true" />
<parameter id="PythonConsole" default="RemotePython" type="String" format="CopyValue" visible="false"/>
<input> <input>
<group name="General"> <group name="General">
...@@ -59,7 +62,8 @@ ...@@ -59,7 +62,8 @@
<output> <output>
<line name="command_line" <line name="command_line"
interrupt="\x03"> timeout="1"
keep-open= "true">
"%ShellSwitches" "%ShellSwitches"
"%PreSSH" "%PreSSH"
"ssh" "ssh"
...@@ -75,6 +79,16 @@ ...@@ -75,6 +79,16 @@
"'" "'"
"%SSHExtra" "%SSHExtra"
</line> </line>
<line name="python_check"
dest="PythonConsole"
mark="``"
sep=""
success="FINISH"
prompt="@@FINISH@@">
"print (\"********\")\n"
"print (\"@@FINISH@@\")\n\n"
</line>
</output> </output>
</tool> </tool>
<tool name="RemotePythonCommand" project="FPGA_project" label="RemotePythonCommand" <tool name="RemotePythonCommand" project="FPGA_project" label="RemotePythonCommand"
...@@ -125,6 +139,7 @@ ...@@ -125,6 +139,7 @@
sep="\n" sep="\n"
prompt="@@FINISH@@" prompt="@@FINISH@@"
stdout="parser_001" stdout="parser_001"
success="FINISH"
timeout="Timeout"> timeout="Timeout">
"%RemoteCommand" "%RemoteCommand"
"print '@@FINISH@@'" "print '@@FINISH@@'"
......
...@@ -405,7 +405,7 @@ ...@@ -405,7 +405,7 @@
<!-- -top npmtest -part xc7k70tfbg484-2 -flatten rebuilt\n" --> <!-- -top npmtest -part xc7k70tfbg484-2 -flatten rebuilt\n" -->
<if-and SkipSnapshotPlace="false" <if-and SkipSnapshotPlace="false"
VivadoOptPlaceActionIndex="0"> VivadoOptPlaceActionIndex="0">
<line name="vivado_copy_after_synth"> <line name="vivado_copy_after_opt_place">
"-c" "-c"
"mkdir -p %VivadoLocalDir ;" "mkdir -p %VivadoLocalDir ;"
"rsync -avr -e ssh" "rsync -avr -e ssh"
......
This diff is collapsed.
...@@ -110,11 +110,11 @@ ...@@ -110,11 +110,11 @@
<parameter id="constrset" label="Constraints set" tooltip= "Constraints set to use" <parameter id="constrset" label="Constraints set" tooltip= "Constraints set to use"
default="" visible="true" omit="" type="String" format="Dash"/> default="" visible="true" omit="" type="String" format="Dash"/>
<parameter id="include_dirs" label="Include directory" tooltip= "Include directories for Verilog `include" <parameter id="include_dirs" label="Include directory" tooltip= "Include directories for Verilog `include"
default="" visible="true" omit="" type="Stringlist" format="DashList"/> default="" visible="true" omit="" type="Stringlist" format="DashListIndividual"/>
<parameter id="generic" label="VHDL/Verilog parameters" tooltip= '"name=value" list of VHDL generic entity or Verilog parameter' <parameter id="generic" label="VHDL/Verilog parameters" tooltip= '"name=value" list of VHDL generic entity or Verilog parameter'
default="" visible="true" omit="" type="Stringlist" format="DashList"/> default="" visible="true" omit="" type="Stringlist" format="DashListIndividual"/>
<parameter id="verilog_define" label="Verilog defines" tooltip= 'Verilog "macro=text" definitions' <parameter id="verilog_define" label="Verilog defines" tooltip= 'Verilog "macro=text" definitions'
default="" visible="true" omit="" type="Stringlist" format="DashList"/> default="" visible="true" omit="" type="Stringlist" format="DashListIndividual"/>
<parameter id="flatten_hierarchy" label="Flatten hierarchy" tooltip= "Flatten Hierarchy during LUT mapping" <parameter id="flatten_hierarchy" label="Flatten hierarchy" tooltip= "Flatten Hierarchy during LUT mapping"
default="rebuilt" visible="true" omit="rebuilt" type="FlattenHierarchyType" format="Dash"/> default="rebuilt" visible="true" omit="rebuilt" type="FlattenHierarchyType" format="Dash"/>
<parameter id="gated_clock_conversion" label="Gated clock conversion" tooltip= "Conversion of gated clocks to FF CE where possible" <parameter id="gated_clock_conversion" label="Gated clock conversion" tooltip= "Conversion of gated clocks to FF CE where possible"
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment