Commit 1e47ffcc authored by Andrey Filippov's avatar Andrey Filippov

Started ISE XST tool

parent 4ab58c67
......@@ -263,7 +263,8 @@ public class SelectedResourceManager implements IWindowListener, ISelectionListe
if (VerilogPlugin.getPreferenceBoolean(PreferenceStrings.DEBUG_OTHER))
System.out.println("Updated fSelectedVerilogFile: "+fSelectedVerilogFile);
} else {
System.out.println(selectedResource+" is not a file or not an HDL file");
if (VerilogPlugin.getPreferenceBoolean(PreferenceStrings.DEBUG_OTHER))
System.out.println(selectedResource+" is not a file or not an HDL file");
}
}
......
......@@ -21,7 +21,7 @@ import java.util.List;
import java.util.Map;
import org.eclipse.swt.widgets.Composite;
import org.eclipse.swt.widgets.Display;
import org.eclipse.swt.widgets.Event;
import org.eclipse.swt.widgets.Listener;
import org.eclipse.swt.widgets.Shell;
......@@ -104,7 +104,7 @@ public class DesignFlowView extends ViewPart implements ISelectionListener {
private static final String TAG_LINKED_TOOLS = "LinkedTools";
private TreeViewer viewer;
private DrillDownAdapter drillDownAdapter;
// private DrillDownAdapter drillDownAdapter;
private Action showLaunchConfigAction;
// private Action launchAction;
......@@ -154,7 +154,7 @@ public class DesignFlowView extends ViewPart implements ISelectionListener {
IDoubleClickListener doubleClickListener=null;
private Action [] launchActions;
private ToolSequence toolSequence=null;
private Composite compositeParent;
// private Composite compositeParent;
/**
* The constructor.
*/
......@@ -201,9 +201,9 @@ public class DesignFlowView extends ViewPart implements ISelectionListener {
if (VerilogPlugin.getPreferenceBoolean(PreferenceStrings.DEBUG_OTHER))
System.out.println("+++++ createPartControl()");
compositeParent=parent; // will it help to re-draw
// compositeParent=parent; // will it help to re-draw
viewer = new TreeViewer(parent, SWT.SINGLE | SWT.H_SCROLL | SWT.V_SCROLL);
drillDownAdapter = new DrillDownAdapter(viewer);
// drillDownAdapter = new DrillDownAdapter(viewer);
viewer.setContentProvider(new ViewContentProvider());
viewer.setLabelProvider(new ViewLabelProvider());
......@@ -1109,7 +1109,9 @@ public class DesignFlowView extends ViewPart implements ISelectionListener {
Object obj = ((IStructuredSelection)selection).getFirstElement();
selectedItem = (DesignMenuModel.Item) obj;
if (selectedResource == null)
selectedResource = SelectedResourceManager.getDefault().getViewSelectedResource(IPageLayout.ID_RES_NAV);
// selectedResource = SelectedResourceManager.getDefault().getViewSelectedResource(IPageLayout.ID_RES_NAV);
selectedResource = SelectedResourceManager.getDefault().getViewSelectedResource(IPageLayout.ID_PROJECT_EXPLORER);
//
updateLaunchAction();
}
} // class ToolSelectionChangedListener
......@@ -1362,7 +1364,8 @@ public class DesignFlowView extends ViewPart implements ISelectionListener {
if (selectedResource != null) {
String location = selectedResource.getFullPath().toPortableString();
memento.putString(TAG_SELECTED_RESOURCE, location);
System.out.println("saveState(memento): selectedResource="+selectedResource);
if (VerilogPlugin.getPreferenceBoolean(PreferenceStrings.DEBUG_OTHER))
System.out.println("saveState(memento): selectedResource="+selectedResource);
}
IResource HDLFile=SelectedResourceManager.getDefault().getChosenVerilogFile();
if (HDLFile!=null){
......
......@@ -94,6 +94,7 @@
<syntax name="DashListIndividual" format="%(-%%ParamName %%ParamValue%| %)" />
<syntax name="DashListCommon" format="-%%ParamName %(%%ParamValue%| %)" />
<syntax name="DashName" format=" -%%ParamName" />
<syntax name="QuotedDash" format=' -%%ParamName "%%ParamValue"' />
<!--
Does not work according to 2.2.1. "Inside text-repetitor, one and only one pattern-generator is mandatory".
......
......@@ -48,7 +48,10 @@
label="Run ISE partgen"
icon="bitstream.png"
call="ISEPartgen"/>
<menuitem name="ISESynthesis"
label="Synthesize design"
icon="Retort.png"
call="ISExst"/>
</menu>
<menu name="Vivado"
......
......@@ -201,17 +201,17 @@
type="String" default="vdt_ise" format="RemoteRootSyntax" readonly="false" />
<parameter id="ISERemoteDir" label="Remote ISE directory" tooltip="Remote ISE output subdirectroy for snapshot and result files"
type="Pathname" default="vivado_build" format="CopyValue" readonly="false" />
type="Pathname" default="ise_build" format="CopyValue" readonly="false" />
<parameter id="ISELocalDir" label="Local ISE directory" tooltip="Local project subdirectroy for Xilinx ISE snapshot files"
type="Pathname" default="vivado_state" format="CopyValue" readonly="false" />
type="Pathname" default="ise_state" format="CopyValue" readonly="false" />
<parameter id="ISELocalResultDir" label="Local ISE results directory"
tooltip="Local project subdirectroy for Xilinx ISE generated result files"
type="Pathname" default="vivado_results" format="CopyValue" readonly="false" />
type="Pathname" default="ise_results" format="CopyValue" readonly="false" />
<parameter id="ISELogDir" label="Local ISE tool logs directory" tooltip="Local project subdirectroy for Xilinx ISE tools log files"
type="Pathname" default="vivado_logs" format="CopyValue" readonly="false" />
type="Pathname" default="ise_logs" format="CopyValue" readonly="false" />
<parameter id="ISEIgnoreSource" label="Ignore source files" tooltip="Pattern to ignore source files that match this regular expression"
type="String" default=".*unisims.*" format="CopyValue" readonly="false" />
......@@ -306,16 +306,16 @@
<parameter id="ISESedPaths" type="String" format="CopyValue"
label="sed command line" tooltip="Remote file prefix to be removed for the local error parser when running ISE tools"
default="sed -u 's@/home/%RemoteUser/%VivadoProjectRoot/%%ProjectName/@@'"/>
default="sed -u 's@/home/%RemoteUser/%ISEProjectRoot/%%ProjectName/@@'"/>
<input>
<group name="Genaral" label="General parameters">
"part"
"ImplementationTopFile"
</group>
<group name="Simulation" label="Simulation properties">
"SimulationTopFile"
"SimulationTopModule"
"ImplementationTopFile"
"SimulDir"
</group>
......
......@@ -11,7 +11,16 @@
<item value="silent" label="Only errors and warnings are output"/>
</paramtype>
</typedef>
<typedef name = "Cardinal_M1_100">
<paramtype kind="number" lo="-1" hi="100" format="%d" />
</typedef>
<syntax name="DashNamePart" format="-%%ParamName %part" />
<syntax name="DashListQuoted" format='-%%ParamName "%(%%ParamValue%| %)"' />
<syntax name="DashListBraced" format="-%%ParamName {%(%%ParamValue%| %)}" />
<!-- <syntax name="QuietSyntax" format=" -quiet" />
......
......@@ -66,9 +66,9 @@
log=""
stdout="parser_ISE">
"mkdir -p"
"~/%ISEProjectRoot"
"~/%ISEProjectRoot/%ISERemoteDir"
"\n"
"cd ~/%ISEProjectRoot\n"
"cd ~/%ISEProjectRoot/%ISERemoteDir\n"
"%ISEBinAbsolutePath/partgen"
"%p_option"
"%v_option"
......@@ -83,6 +83,17 @@
"echo \"@@FINISH@@\"\n"
</line>
<!-- TODO: copy results -->
<line name="ise_copy_after_partgen">
"-c"
"mkdir -p %ISELocalResultDir ;"
"rsync -avr -e ssh"
"%RemoteUser@%RemoteHost:%ISEProjectRoot/%ISERemoteDir/partlist.*"
"%RemoteUser@%RemoteHost:%ISEProjectRoot/%ISERemoteDir/*.pkg"
<if useRedirect="true">
"%RemoteUser@%RemoteHost:%ISEProjectRoot/%ISERemoteDir/%redirect"
</if>
"%ISELocalResultDir/"
</line>
</output>
</tool>
</vdt-project>
......
......@@ -27,13 +27,13 @@
<!-- parser parameters -->
<!-- TODO: parser is temporarily copied from Vivado, change to ISE -->
<parameter id="PatternErrors" label="Errors" tooltip= "Regular expression for error messages"
default=".*ERROR: (\[.*\].*)\[(.*):([0-9]+)\]"
default='ERROR:(\S*).*"(.*)"\s*Line\s*([0-9]+):\s*(\S.*)'
visible="true" type="String" format="CopyValue"/>
<parameter id="PatternWarnings" label="Warnings" tooltip= "Regular expression for warnings messages"
default=".*WARNING: (\[.*\].*)\[(.*):([0-9]+)\]"
default='WARNING:(\S*).*"(.*)"\s*Line\s*([0-9]+):\s*(\S.*)'
visible="true" type="String" format="CopyValue"/>
<parameter id="PatternInfo" label="Info" tooltip= "Regular expression for info messages"
default=".*INFO: (\[.*\].*)\[(.*):([0-9]+)\]"
default='INFO:(\S*).*"(.*)"\s*Line\s*([0-9]+):\s*(\S.*)'
visible="true" type="String" format="CopyValue"/>
<parameter id="NoFileProblem" label="No-file problems" tooltip= "Report problems that do not specify particular source file/line"
default="true" visible="true" omit="false" type="Boolean" format="None"/>
......@@ -67,12 +67,12 @@
type= "Boolean" format="None"/>
<parameter id="PreGrepW" visible="false"
type="String" format="None"
default="?%ShowWarnings=true: |WARNING, "/>
default="?%ShowWarnings=true: |WARNING:, "/>
<parameter id="PreGrepI" visible="false"
type="String" format="None"
default="?%ShowInfo=true: |INFO, "/>
default="?%ShowInfo=true: |INFO:, "/>
<parameter id="GrepEWI" label="Grep filter" tooltip="Calculated grep filter"
default="grep --line-buffered -E 'ERROR%PreGrepW%PreGrepI'"
default="grep --line-buffered -E 'ERROR:%PreGrepW%PreGrepI'"
type="String" format="CopyValue"
visible="true" readonly="true"/>
......
This diff is collapsed.
......@@ -86,10 +86,6 @@
<parameter id="ConstraintsFiles" type="Filelist" format="ParamListSyntax"
default="" label="Constraints files" tooltip="Select constraint files to load to Vivado" readonly="false"
visible="true" />
<parameter id="ConstraintsFiles" type="Filelist" format="ParamListSyntax"
default="%" label="Constraints files" tooltip="Select constraint files to load to Vivado" readonly="false"
visible="true" />
<parameter id="SkipSnapshotSynth" label="Skip snapshot" tooltip="Do not create snapshot after synthesis"
default="false"
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment