Commit 180a1d4f authored by Andrey Filippov's avatar Andrey Filippov

using new features

parent e0514a27
......@@ -38,24 +38,25 @@
<menuitem name="CopyUnisims"
label="Copy Xilinx primitives library to the local project"
icon="xilinx.png"
icon="copy.png"
call="VivadoUnisims"/>
<menuitem name="Vivado Server"
label="Start remote Vivado session"
icon="xilinx.png"
icon="door_in.png"
call="Vivado"/>
<menuitem name="Vivado Test"
label="Send a 'Hello World' command to the remote Vivado session"
icon="my_tool.gif"
call="VivadoTest"/>
<menuitem name="VivadoSynthesis"
label="Synthesize design"
icon="xilinx.png"
icon="Retort.png"
call="VivadoSynthesis"/>
<menuitem name="VivadoOptPlace"
label="Optimize and place design"
icon="xilinx.png"
icon="mondrian2x2.png"
call="VivadoOptPlace"/>
<menuitem name="Vivado Test"
label="Send a 'Hello World' command to the remote Vivado session"
icon="my_tool.gif"
call="VivadoTest"/>
</menu>
<menuitem name="Test VDT"
label="Testing some VDT features"
......
......@@ -7,28 +7,33 @@
package="FPGA_package"
shell="/bin/bash" interface="VivadoInterface" description="Launching remote Xilinx Vivado in console">
<action-menu>
<action label="Launch Vivado" resource="" icon="xilinx.png" />
<action label="Launch Vivado" resource="" icon="door_in.png" />
<action label="Generate public key" resource="" icon="gnu.png" />
<action label="Setup connection to" resource="%RemoteUser@%RemoteHost"
check-extension="false" check-existence="false" icon="gnu.png" />
<action label="Launch shell on remote" resource="" icon="xilinx.png" />
</action-menu>
<!--
<parameter id="command" label="Command" default=""
type="String" format="CopyValue" visible="true" readonly="false" /> -->
<parameter id="command" label="Shell command" default=""
type="String" format="CopyValue" visible="true" readonly="false" />
<parameter id="actionIndex" default="%%ChosenActionIndex"
type="String" format="CopyValue" visible="false" />
<input>
<group name="General">
<!-- "command" -->
"RemoteCommand"
"RemoteCommand"
</group>
<group name="Shell">
- "command"
</group>
</input>
<output>
<if actionIndex="0">
<line name="Vivado">
<line name="Vivado"
timeout="1"
keep-open= "true">
"%ShellSwitches"
"%PreSSH"
"ssh"
......@@ -50,6 +55,18 @@
"echo 'the remote server (currently set as %RemoteUser@%RemoteHost)';"
"exit 1; } ;"
</line>
<line name="vivado_check"
dest="VivadoConsole"
mark="``"
sep=""
success="Finished parsing RTL primitives"
prompt="@@FINISH@@">
<!-- "cd ~/%VivadoProjectRoot\n"
"set outputDir ~/%VivadoProjectRoot/build\n"-->
"puts \"@@FINISH@@\"\n"
</line>
</if>
<if actionIndex="1">
<line name="Keygen">
......@@ -91,6 +108,31 @@
"ssh-copy-id %RemoteUser@%RemoteHost;"
</line>
</if>
<if actionIndex="3">
<line name="Vivado">
"%ShellSwitches"
"%PreSSH"
"ssh"
"-oBatchMode=yes"
<if TerminalMode = "true">
"-t -t"
</if>
"%SSHSwitches"
"-l"
"%RemoteUser"
"%RemoteHost"
"'"
"%command"
"'"
"%SSHExtra"
"|| { echo '*** ssh connection to the server %RemoteUser@%RemoteHost failed ***';"
"echo 'You may need to configure connection - it is done in \"Package Setup\"';"
"echo 'Then generate a public key (if it is not done already), and post it to';"
"echo 'the remote server (currently set as %RemoteUser@%RemoteHost)';"
"exit 1; } ;"
</line>
</if>
</output>
</tool>
</vdt-project>
......@@ -53,9 +53,10 @@
interface="VivadoOptPlaceInterface"
package="FPGA_package"
shell="/bin/bash"
description="Vivado optimize, power optimize, place and physical optimize design">
description="Vivado optimize, power optimize, place and physical optimize design"
log-dir="vivado_logs">
<action-menu>
<action label="Optimize and Place" resource="" icon="xilinx.png" />
<action label="Optimize and Place" resource="" icon="mondrian2x2.png" />
</action-menu>
<parameter id="FromMemory" label="Run from memory" tooltip="Do not load snapshot created after synthesis"
default="false" type= "Boolean" format="None"/>
......@@ -322,7 +323,9 @@
dest="VivadoConsole"
mark="``"
sep=""
prompt="@@FINISH@@"
prompt="@@FINISH@@"
success="phys_opt_design completed successfully"
log=""
stdout="parser_VivadoOpt">
"cd ~/%VivadoProjectRoot\n"
"set outputDir ~/%VivadoProjectRoot/build\n"
......
......@@ -55,7 +55,9 @@
package="FPGA_package"
shell="/bin/bash"
ignore="%VivadoIgnoreSource"
description="Vivado Synthesis">
description="Vivado Synthesis"
log-dir="vivado_logs">
<extensions-list>
<extension mask="v" />
<extension mask="tf" />
......@@ -63,9 +65,9 @@
<action-menu>
<action label="Synthesize with Vivado:" resource="%ImplementationTopFile"
check-extension="false" check-existence="true" icon="xilinx.png" />
check-extension="false" check-existence="true" icon="Retort.png" />
<action label="Check by Vivado Synthesis:" resource="%%SelectedFile"
check-extension="true" check-existence="true" icon="sample.gif" />
check-extension="true" check-existence="true" icon="IconTestTube.png" />
</action-menu>
<parameter id="ConstraintsFiles" type="Filelist" format="ParamListSyntax"
......@@ -255,8 +257,11 @@
dest="VivadoConsole"
mark="``"
sep=""
prompt="@@FINISH@@"
prompt="@@FINISH@@"
success="synth_design completed successfully"
log=""
stdout="parser_VivadoSynth">
<!-- synth_design completed successfully -->
"cd ~/%VivadoProjectRoot\n"
"set outputDir ~/%VivadoProjectRoot/build\n"
<if ResetProject="true">
......
......@@ -8,7 +8,7 @@
shell="/bin/bash"
description="Copy unisims library to the project directory">
<action-menu>
<action label="Copy unisims" resource="" icon="xilinx.png" />
<action label="Copy unisims" resource="" icon="door_in.png" />
</action-menu>
<input>
<group name="General">
......
......@@ -8,7 +8,7 @@
interface="VDTTestInterface"
description="Testing VDT features">
<action-menu>
<action label="Test VDT" resource="" icon="sample.gif" />
<action label="Test VDT" resource="" icon="obj16/spinning.gif" />
</action-menu>
<parameter id="file1" label="File1 (for list)" default=""
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment