Commit 6e586d69 authored by Marco Serantoni's avatar Marco Serantoni

Fixing typo

parent ea4bec99
...@@ -367,7 +367,7 @@ if( KICAD_SCRIPTING_MODULES ) ...@@ -367,7 +367,7 @@ if( KICAD_SCRIPTING_MODULES )
3d-viewer 3d-viewer
pcbcommon pcbcommon
pnsrouter pnsrouter
c${ommon common
pcad2kicadpcb pcad2kicadpcb
lib_dxf lib_dxf
${GITHUB_PLUGIN_LIBRARIES} ${GITHUB_PLUGIN_LIBRARIES}
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment