Commit 3916139c authored by Dimitri van Heesch's avatar Dimitri van Heesch

Bug 698998 - vhdldocgen fails to generate proper latex for VHDL record type

parent c03d5d1c
......@@ -3188,6 +3188,8 @@ void VhdlDocGen::writeRecUnitDocu(
{
QCString n=ql[i].utf8();
ol.startParameterType(first,"");
ol.endParameterType();
ol.startParameterName(TRUE);
VhdlDocGen::formatString(n,ol,md);
if ((len-i)>1)
{
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment