Commit 210f2d96 authored by Oleg Dzhimiev's avatar Oleg Dzhimiev

+comment

parent cb16102d
...@@ -19,7 +19,7 @@ if cmd == "set_zynq_ssd": ...@@ -19,7 +19,7 @@ if cmd == "set_zynq_ssd":
elif cmd == "set_zynq_esata": elif cmd == "set_zynq_esata":
sata.set_zynq_esata() sata.set_zynq_esata()
elif cmd == "set_zynq_ssata": elif cmd == "set_zynq_ssata":
sata.set_zynq_esata() sata.set_zynq_ssata()
elif cmd == "set_esata_ssd": elif cmd == "set_esata_ssd":
sata.set_esata_ssd() sata.set_esata_ssd()
else: else:
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment