Commit bbfa8af4 authored by Andrey Filippov's avatar Andrey Filippov

making changes to match 393 simulation

parent bf4eae13
......@@ -52,7 +52,7 @@
<link>
<name>ise_logs/ISExst.log</name>
<type>1</type>
<location>/home/andrey/git/x353/ise_logs/ISExst-20150728152838290.log</location>
<location>/home/andrey/git/x353/ise_logs/ISExst-20150729134023977.log</location>
</link>
<link>
<name>ise_state/x353-map.tgz</name>
......@@ -72,7 +72,7 @@
<link>
<name>ise_state/x353-synth.tgz</name>
<type>1</type>
<location>/home/andrey/git/x353/ise_state/x353-synth-20150728152838290.tgz</location>
<location>/home/andrey/git/x353/ise_state/x353-synth-20150729134023977.tgz</location>
</link>
</linkedResources>
</projectDescription>
com.elphel.store.context.iverilog=iverilog_100_TopModulesOther<-@\#\#@->iverilog_102_ExtraFiles<-@\#\#@->iverilog_103_IncludeDir<-@\#\#@->iverilog_117_GTKWaveSavFile<-@\#\#@->iverilog_96_Param_Exe<-@\#\#@->iverilog_97_VVP_Exe<-@\#\#@->iverilog_98_GtkWave_Exe<-@\#\#@->iverilog_108_ShowNoProblem<-@\#\#@->iverilog_111_SaveLogsPreprocessor<-@\#\#@->iverilog_112_SaveLogsSimulator<-@\#\#@->
com.elphel.store.context.iverilog=iverilog_100_TopModulesOther<-@\#\#@->iverilog_102_ExtraFiles<-@\#\#@->iverilog_103_IncludeDir<-@\#\#@->iverilog_117_GTKWaveSavFile<-@\#\#@->iverilog_96_Param_Exe<-@\#\#@->iverilog_97_VVP_Exe<-@\#\#@->iverilog_98_GtkWave_Exe<-@\#\#@->iverilog_108_ShowNoProblem<-@\#\#@->iverilog_111_SaveLogsPreprocessor<-@\#\#@->iverilog_112_SaveLogsSimulator<-@\#\#@->iverilog_109_ShowNoProblem<-@\#\#@->iverilog_101_TopModulesOther<-@\#\#@->iverilog_103_ExtraFiles<-@\#\#@->iverilog_104_IncludeDir<-@\#\#@->
eclipse.preferences.version=1
iverilog_100_TopModulesOther=glbl<-@\#\#@->
iverilog_101_TopModulesOther=glbl<-@\#\#@->
iverilog_102_ExtraFiles=glbl.v<-@\#\#@->
iverilog_103_ExtraFiles=glbl.v<-@\#\#@->
iverilog_103_IncludeDir=${verilog_project_loc}/ddr<-@\#\#@->
iverilog_104_IncludeDir=${verilog_project_loc}/ddr<-@\#\#@->
iverilog_108_ShowNoProblem=true
iverilog_109_ShowNoProblem=true
iverilog_111_SaveLogsPreprocessor=true
iverilog_112_SaveLogsSimulator=true
iverilog_117_GTKWaveSavFile=${verilog_project_loc}/x353_1.sav
......
......@@ -419,13 +419,25 @@ module sensorpix( pclk, // clock (==pclk)
.SSRB(1'b0), // Port B Synchronous Set/Reset Input
.WEB(1'b1) // Port B Write Enable Input
);
/// AF2015 *************** Fixing old bug - moved outside ******************
/*
reg [1:0] newline_d;
reg [1:0] linerun_d;
always @ (posedge pclk) begin
newline_d <= {newline_d[0],hact & ~hact_d[0]};
linerun_d <= {linerun_d[0],hact_d[1]};
end
*/
lens_flat i_lens_flat(.sclk(sclk), /// system clock @negedge
.wen(we_lensff), /// write LSW from di
.di(wd[15:0]), /// [15:0] data in
.pclk(pclk), /// pixel clock (@pclk)
.fstart(en && !en_d), /// frame start - single clock (will have frame latency as coefficients are written after the fstart)
.newline(hact & ~hact_d[0]), /// start of scan line - ahead of linerun
// .newline(newline_d[1]), /// start of scan line - ahead of linerun
.linerun(hact_d[1]), /// active pixel output - latency will be = 3 clocks
// .linerun(linerun_d[1]), /// active pixel output - latency will be = 3 clocks
.bayer(bayer[1:0]),
.pixdi(pd_lenscorr_in[15:0]), /// pixel data in,16 bit (normal data is positive, 15 bits)
.pixdo(pd_lenscorr_out[15:0]) /// pixel data out, same format as input
......
......@@ -976,8 +976,14 @@ rtc353 i_rtc353 (.mclk(sclk0), // system clock (negedge)
.psec(psec[31:0]), // [31:0] seconds counter output
.usec(running_usec[19:0]), // [19:0] running usec output
.sec(running_sec[31:0])); //[31:0] running seconds counter output
// AF2015 - delaying to match
reg ihact_d;
reg ihact_ts_d;
always @ (posedge pclk) begin
ihact_d <= ihact;
ihact_ts_d <= ihact_ts;
end
timestamp353 i_timestamp353(.mclk(sclk0), // system clock (negedge)
.pre_we(da_timestamp), // 1 cycle ahead of writing data
.wd(idi[1:0]), // [31:0] data to write, valid 1 cycle after pre_we, wa
......@@ -985,7 +991,8 @@ timestamp353 i_timestamp353(.mclk(sclk0), // system clock (negedge)
.pxdi(ipxd[15:0]), // [9:0] pixel data from sensor
.pxdo(ipxd_ts[15:0]), // [9:0] data to replace pxdi (next cycle)
.vacts(vacts_every), // vertical sync (actual sensor)
.hacti(ihact), // hact input
// .hacti(ihact), // hact input
.hacti(ihact_d), // hact input
.hacto(ihact_ts), // hact output (next cycle)
.sec(ts_sync_sec[31:0]), // [31:0] number of seconds
.usec(ts_sync_usec[19:0]), // [19:0] number of microseconds
......@@ -1305,7 +1312,9 @@ sensorpix i_sensorpix(
.hact_out(line_run),
// sensor interface
.hact(ihact_ts), // line active
//AF2015 .hact(ihact_ts), // line active
.hact(ihact_ts_d), // line active
.pxd(ipxd_ts[15:0]), // [9:0] - 10 bit pixel data
// channel 0 (data->SDRAM) interface
.dwe(sens_we), // WE to SDRAM buffer
......
[*]
[*] GTKWave Analyzer v3.3.64 (w)1999-2014 BSI
[*] Mon Jul 27 18:34:40 2015
[*] GTKWave Analyzer v3.3.66 (w)1999-2015 BSI
[*] Sun Aug 9 03:27:09 2015
[*]
[dumpfile] "/home/andrey/git/x353/simulation/x353_1-20150727121507816.lxt"
[dumpfile_mtime] "Mon Jul 27 18:19:16 2015"
[dumpfile_size] 132295306
[dumpfile] "/home/andrey/git/x353/simulation/x353_1-20150808211805694.fst"
[dumpfile_mtime] "Sun Aug 9 03:23:39 2015"
[dumpfile_size] 21847559
[savefile] "/home/andrey/git/x353/x353_1.sav"
[timestart] 0
[timestart] 584523000
[size] 1823 1180
[pos] 1917 0
*-25.641851 6500000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
*-19.677540 584994800 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
[treeopen] testbench353.
[treeopen] testbench353.i_x353.
[treeopen] testbench353.i_x353.i_sensorpads.i_sensor_phase.
[treeopen] testbench353.i_x353.i_sensorpix.
[treeopen] testbench353.i_x353.i_sensorpix.i_lens_flat.
[treeopen] testbench353.i_x353.i_sysinterface.i_we.
[sst_width] 335
[signals_width] 294
[signals_width] 322
[sst_expanded] 1
[sst_vpaned_height] 430
[sst_vpaned_height] 625
@820
testbench353.TEST_TITLE[639:0]
@800200
-sensor_in
@22
@800022
testbench353.PXD[11:0]
@28
testbench353.VACT[0]
testbench353.VACT1CYCLE[0]
testbench353.i_x353.HACT[0]
testbench353.MRST[0]
testbench353.ARST[0]
testbench353.ARO[0]
testbench353.SCL0[0]
testbench353.SDA0[0]
(0)testbench353.PXD[11:0]
(1)testbench353.PXD[11:0]
(2)testbench353.PXD[11:0]
(3)testbench353.PXD[11:0]
(4)testbench353.PXD[11:0]
(5)testbench353.PXD[11:0]
(6)testbench353.PXD[11:0]
(7)testbench353.PXD[11:0]
(8)testbench353.PXD[11:0]
(9)testbench353.PXD[11:0]
(10)testbench353.PXD[11:0]
(11)testbench353.PXD[11:0]
@1001200
-group_end
@28
testbench353.i_x353.HACT
testbench353.i_x353.VACT
testbench353.DCLK
@c00023
>-128600
testbench353.i_x353.ipxd[15:0]
@28
>0
(0)testbench353.i_x353.ipxd[15:0]
(1)testbench353.i_x353.ipxd[15:0]
(2)testbench353.i_x353.ipxd[15:0]
(3)testbench353.i_x353.ipxd[15:0]
(4)testbench353.i_x353.ipxd[15:0]
(5)testbench353.i_x353.ipxd[15:0]
(6)testbench353.i_x353.ipxd[15:0]
(7)testbench353.i_x353.ipxd[15:0]
(8)testbench353.i_x353.ipxd[15:0]
(9)testbench353.i_x353.ipxd[15:0]
(10)testbench353.i_x353.ipxd[15:0]
(11)testbench353.i_x353.ipxd[15:0]
(12)testbench353.i_x353.ipxd[15:0]
(13)testbench353.i_x353.ipxd[15:0]
(14)testbench353.i_x353.ipxd[15:0]
(15)testbench353.i_x353.ipxd[15:0]
@1401201
-group_end
@c00022
>-149600
testbench353.i_x353.i_sensorpix.pxd[15:0]
@28
>0
(0)testbench353.i_x353.i_sensorpix.pxd[15:0]
(1)testbench353.i_x353.i_sensorpix.pxd[15:0]
(2)testbench353.i_x353.i_sensorpix.pxd[15:0]
(3)testbench353.i_x353.i_sensorpix.pxd[15:0]
(4)testbench353.i_x353.i_sensorpix.pxd[15:0]
(5)testbench353.i_x353.i_sensorpix.pxd[15:0]
(6)testbench353.i_x353.i_sensorpix.pxd[15:0]
(7)testbench353.i_x353.i_sensorpix.pxd[15:0]
(8)testbench353.i_x353.i_sensorpix.pxd[15:0]
(9)testbench353.i_x353.i_sensorpix.pxd[15:0]
(10)testbench353.i_x353.i_sensorpix.pxd[15:0]
(11)testbench353.i_x353.i_sensorpix.pxd[15:0]
(12)testbench353.i_x353.i_sensorpix.pxd[15:0]
(13)testbench353.i_x353.i_sensorpix.pxd[15:0]
(14)testbench353.i_x353.i_sensorpix.pxd[15:0]
(15)testbench353.i_x353.i_sensorpix.pxd[15:0]
@1401200
-group_end
@1000200
-sensor_in
@c00200
-sensorpads
@28
testbench353.i_x353.i_sensorpads.clk
testbench353.i_x353.i_sensorpads.hact
testbench353.i_x353.i_sensorpads.vact
testbench353.i_x353.i_sensorpads.ihact
@c00022
testbench353.i_x353.i_sensorpads.ipxd[15:0]
@28
(0)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(1)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(2)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(3)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(4)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(5)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(6)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(7)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(8)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(9)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(10)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(11)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(12)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(13)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(14)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(15)testbench353.i_x353.i_sensorpads.ipxd[15:0]
@1401200
-group_end
-sensorpads
@800200
-debug_sensorpads
-timestamp353
@28
testbench353.i_x353.i_sensorpads.iaro[0]
testbench353.i_x353.cb_sensor_trigger[0]
testbench353.i_x353.iaro[0]
testbench353.i_x353.sensor_trigger[0]
testbench353.i_x353.en_vacts_free[0]
testbench353.i_x353.vact_overdue[0]
testbench353.i_x353.i_timestamp353.start_ts
testbench353.i_x353.i_timestamp353.ts_active
testbench353.i_x353.i_timestamp353.use_ts
@200
-
@1000200
-debug_sensorpads
-timestamp353
@800200
-lens_flat
@c00200
-line_xy
@22
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.A0[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.A2X[23:1]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.A[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.ApB[23:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.B0[20:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.ERR0[23:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.ERR[23:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.F0[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.F1[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.F[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.dF[10:0]
@28
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.first
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.first_d
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.inc[1:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.next
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.next_d
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.pclk
@22
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fxy.preERR[23:0]
@1401200
-line_xy
@c00200
-line_y
@22
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.A0[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.A2X[23:1]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.A[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.ApB[23:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.B0[20:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.ERR0[23:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.ERR[23:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.F0[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.F1[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.F[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.dF[10:0]
@28
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.first
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.first_d
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.inc[1:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.next
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.next_d
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.pclk
@22
testbench353.i_x353.i_sensorpix.i_lens_flat.i_fy.preERR[23:0]
@1401200
-line_y
@200
-
@800022
testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
@28
(0)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(1)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(2)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(3)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(4)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(5)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(6)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(7)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(8)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(9)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(10)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(11)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(12)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(13)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(14)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
(15)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
@1001200
-group_end
@800022
testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
@28
(0)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(1)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(2)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(3)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(4)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(5)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(6)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(7)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(8)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(9)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(10)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(11)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(12)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(13)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(14)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
(15)testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
@1001200
-group_end
@28
testbench353.i_x353.i_sensorpix.i_lens_flat.pclk
testbench353.i_x353.i_sensorpix.i_lens_flat.fstart
testbench353.i_x353.i_sensorpix.i_lens_flat.newline
testbench353.i_x353.i_sensorpix.i_lens_flat.linerun
@800022
testbench353.i_x353.i_sensorpix.i_lens_flat.lens_corr_out[4:0]
@28
(0)testbench353.i_x353.i_sensorpix.i_lens_flat.lens_corr_out[4:0]
(1)testbench353.i_x353.i_sensorpix.i_lens_flat.lens_corr_out[4:0]
(2)testbench353.i_x353.i_sensorpix.i_lens_flat.lens_corr_out[4:0]
(3)testbench353.i_x353.i_sensorpix.i_lens_flat.lens_corr_out[4:0]
(4)testbench353.i_x353.i_sensorpix.i_lens_flat.lens_corr_out[4:0]
@1001200
-group_end
@200
-
@22
testbench353.i_x353.i_sensorpix.i_lens_flat.AX[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.AY[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.BX[20:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.BY[20:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.C[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.ERR_Y[23:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.FXY[18:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.FY[18:0]
@28
testbench353.i_x353.i_sensorpix.i_lens_flat.bayer0_latched
testbench353.i_x353.i_sensorpix.i_lens_flat.bayer[1:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.bayer_nset
testbench353.i_x353.i_sensorpix.i_lens_flat.color[1:0]
@22
testbench353.i_x353.i_sensorpix.i_lens_flat.di[15:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.did[23:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.didd[23:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.fatzero_in[15:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.fatzero_out[15:0]
@28
testbench353.i_x353.i_sensorpix.i_lens_flat.fstart
@22
testbench353.i_x353.i_sensorpix.i_lens_flat.lens_corr_out[4:0]
@28
testbench353.i_x353.i_sensorpix.i_lens_flat.linerun
@22
testbench353.i_x353.i_sensorpix.i_lens_flat.mult_first_res[35:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.mult_first_scaled[17:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.mult_second_res[35:0]
@28
testbench353.i_x353.i_sensorpix.i_lens_flat.newline
testbench353.i_x353.i_sensorpix.i_lens_flat.pclk
@22
testbench353.i_x353.i_sensorpix.i_lens_flat.pix_zero[17:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.pixdi[15:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.pixdo[15:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.post_scale[3:0]
testbench353.i_x353.i_sensorpix.i_lens_flat.pre_pixdo_with_zero[20:0]
@28
testbench353.i_x353.i_sensorpix.i_lens_flat.sclk
testbench353.i_x353.i_sensorpix.i_lens_flat.sync_bayer
testbench353.i_x353.i_sensorpix.i_lens_flat.we_AX
testbench353.i_x353.i_sensorpix.i_lens_flat.we_AY
testbench353.i_x353.i_sensorpix.i_lens_flat.we_BX
testbench353.i_x353.i_sensorpix.i_lens_flat.we_BY
testbench353.i_x353.i_sensorpix.i_lens_flat.we_C
testbench353.i_x353.i_sensorpix.i_lens_flat.we_fatzero_in
testbench353.i_x353.i_sensorpix.i_lens_flat.we_fatzero_out
testbench353.i_x353.i_sensorpix.i_lens_flat.we_post_scale
testbench353.i_x353.i_sensorpix.i_lens_flat.we_scales
testbench353.i_x353.i_sensorpix.i_lens_flat.wen
testbench353.i_x353.i_sensorpix.i_lens_flat.wen_d[1:0]
@1000200
-lens_flat
@c00200
-sensor12b
@22
testbench353.i_sensor12bits.D[11:0]
testbench353.i_sensor12bits.cntr[15:0]
testbench353.i_sensor12bits.cntrd[15:0]
testbench353.i_sensor12bits.col[11:0]
testbench353.i_sensor12bits.col_index[5:0]
testbench353.i_sensor12bits.cold[11:0]
testbench353.i_sensor12bits.d_rand[11:0]
testbench353.i_sensor12bits.row[11:0]
testbench353.i_sensor12bits.row_index[5:0]
testbench353.i_sensor12bits.rowd[11:0]
testbench353.i_sensor12bits.state[3:0]
testbench353.i_sensor12bits.stated[3:0]
@1401200
-sensor12b
@800200
-debug_sensorpads
@1000200
-debug_sensorpads
@200
-
@c00200
-twelve_ios
@c00023
@c00022
testbench353.EXT[11:0]
@28
(0)testbench353.EXT[11:0]
......@@ -65,30 +340,14 @@ testbench353.EXT[11:0]
(9)testbench353.EXT[11:0]
(10)testbench353.EXT[11:0]
(11)testbench353.EXT[11:0]
@1401201
@1401200
-group_end
@28
testbench353.external_sync_line[0]
@800200
@c00200
-iopins_9
@28
testbench353.i_x353.i_iopins9.GTS[0]
testbench353.i_x353.i_iopins9.IO[0]
testbench353.i_x353.i_iopins9.I[0]
testbench353.i_x353.i_iopins9.O[0]
testbench353.i_x353.i_iopins9.T[0]
testbench353.i_x353.i_iopins9.ts[0]
@1000200
@1401200
-iopins_9
@c00200
-iopins_8
@28
testbench353.i_x353.i_iopins8.GTS[0]
testbench353.i_x353.i_iopins8.IO[0]
testbench353.i_x353.i_iopins8.I[0]
testbench353.i_x353.i_iopins8.O[0]
testbench353.i_x353.i_iopins8.T[0]
testbench353.i_x353.i_iopins8.ts[0]
@1401200
-iopins_8
@c00022
......@@ -108,7 +367,7 @@ testbench353.i_x353.io_t[11:0]
(11)testbench353.i_x353.io_t[11:0]
@1401200
-group_end
@800022
@c00022
testbench353.i_x353.EXT[11:0]
@28
(0)testbench353.i_x353.EXT[11:0]
......@@ -123,7 +382,7 @@ testbench353.i_x353.EXT[11:0]
(9)testbench353.i_x353.EXT[11:0]
(10)testbench353.i_x353.EXT[11:0]
(11)testbench353.i_x353.EXT[11:0]
@1001200
@1401200
-group_end
@22
testbench353.i_x353.io_do[11:0]
......@@ -144,13 +403,8 @@ testbench353.i_x353.io_pins[11:0]
(11)testbench353.i_x353.io_pins[11:0]
@1401200
-group_end
@28
testbench353.i_x353.da_io_pins[0]
@22
testbench353.i_x353.i_twelve_ios.ch_en[3:0]
@28
testbench353.i_x353.i_twelve_ios.cr_wen[0]
@22
testbench353.i_x353.i_twelve_ios.da[11:0]
testbench353.i_x353.i_twelve_ios.da_en[11:0]
testbench353.i_x353.i_twelve_ios.da_en_m[11:0]
......@@ -167,17 +421,15 @@ testbench353.i_x353.i_twelve_ios.ds_en[11:0]
testbench353.i_x353.i_twelve_ios.ds_en_m[11:0]
testbench353.i_x353.i_twelve_ios.io_do[11:0]
testbench353.i_x353.i_twelve_ios.io_t[11:0]
@28
testbench353.i_x353.i_twelve_ios.pre_wen[0]
testbench353.i_x353.i_twelve_ios.pre_wen_d[0]
testbench353.i_x353.i_twelve_ios.sclk[0]
@1000200
@1401200
-twelve_ios
@800200
@c00200
-debug_camsync
@28
testbench353.i_x353.i_camsync.trigger_condition[0]
@800022
@22
testbench353.i_x353.i_camsync.dly_cntr[31:0]
testbench353.i_x353.i_camsync.gpio_out[11:0]
testbench353.i_x353.i_camsync.gpio_out_en[11:0]
@c00022
testbench353.i_x353.i_camsync.gpio_in[11:0]
@28
(0)testbench353.i_x353.i_camsync.gpio_in[11:0]
......@@ -192,7 +444,7 @@ testbench353.i_x353.i_camsync.gpio_in[11:0]
(9)testbench353.i_x353.i_camsync.gpio_in[11:0]
(10)testbench353.i_x353.i_camsync.gpio_in[11:0]
(11)testbench353.i_x353.i_camsync.gpio_in[11:0]
@1001200
@1401200
-group_end
@c00022
testbench353.i_x353.i_camsync.input_pattern[11:0]
......@@ -228,11 +480,27 @@ testbench353.i_x353.i_camsync.input_use[11:0]
(11)testbench353.i_x353.i_camsync.input_use[11:0]
@1401200
-group_end
@28
testbench353.i_x353.i_camsync.out_data[0]
@22
testbench353.EXT[11:0]
@1000200
testbench353.i_x353.i_camsync.bit_length[7:0]
@c00028
testbench353.i_x353.i_camsync.start_pclk[2:0]
@28
(0)testbench353.i_x353.i_camsync.start_pclk[2:0]
(1)testbench353.i_x353.i_camsync.start_pclk[2:0]
(2)testbench353.i_x353.i_camsync.start_pclk[2:0]
@1401200
-group_end
@c00028
testbench353.i_x353.i_camsync.restart_cntr_run[1:0]
@28
(0)testbench353.i_x353.i_camsync.restart_cntr_run[1:0]
(1)testbench353.i_x353.i_camsync.restart_cntr_run[1:0]
@1401200
-group_end
@22
testbench353.i_x353.i_camsync.restart_cntr[31:0]
@1401200
-debug_camsync
@c00200
-camsync
......@@ -242,111 +510,40 @@ testbench353.i_x353.i_camsync.bit_length_plus1[7:0]
testbench353.i_x353.i_camsync.bit_length_short[7:0]
testbench353.i_x353.i_camsync.bit_rcv_counter[6:0]
testbench353.i_x353.i_camsync.bit_rcv_duration[7:0]
@28
testbench353.i_x353.i_camsync.bit_rcv_duration_zero[0]
@22
testbench353.i_x353.i_camsync.bit_snd_counter[5:0]
testbench353.i_x353.i_camsync.bit_snd_duration[7:0]
@28
testbench353.i_x353.i_camsync.bit_snd_duration_zero[0]
@22
testbench353.i_x353.i_camsync.di[15:0]
testbench353.i_x353.i_camsync.did[31:0]
testbench353.i_x353.i_camsync.dly_cntr[31:0]
@28
testbench353.i_x353.i_camsync.dly_cntr_run[0]
testbench353.i_x353.i_camsync.dly_cntr_run_d[0]
@22
testbench353.i_x353.i_camsync.gpio_active[11:0]
testbench353.i_x353.i_camsync.gpio_in[11:0]
testbench353.i_x353.i_camsync.gpio_out[11:0]
testbench353.i_x353.i_camsync.gpio_out_en[11:0]
@28
testbench353.i_x353.i_camsync.high_zero[0]
@22
testbench353.i_x353.i_camsync.input_dly[31:0]
testbench353.i_x353.i_camsync.input_pattern[11:0]
testbench353.i_x353.i_camsync.input_use[11:0]
@28
testbench353.i_x353.i_camsync.input_use_intern[0]
testbench353.i_x353.i_camsync.out_data[0]
testbench353.i_x353.i_camsync.outsync[0]
testbench353.i_x353.i_camsync.overdue[0]
testbench353.i_x353.i_camsync.pclk[0]
testbench353.i_x353.i_camsync.pre_input_use_intern[0]
@22
testbench353.i_x353.i_camsync.pre_period[31:0]
@28
testbench353.i_x353.i_camsync.pre_rcv_error[0]
testbench353.i_x353.i_camsync.pre_set_bit[0]
testbench353.i_x353.i_camsync.pre_set_period[0]
testbench353.i_x353.i_camsync.pre_start0[0]
testbench353.i_x353.i_camsync.pre_start_out_pulse[0]
testbench353.i_x353.i_camsync.pre_wen[0]
testbench353.i_x353.i_camsync.pre_wend[0]
testbench353.i_x353.i_camsync.rcv_done[0]
testbench353.i_x353.i_camsync.rcv_done_rq[0]
testbench353.i_x353.i_camsync.rcv_done_rq_d[0]
testbench353.i_x353.i_camsync.rcv_error[0]
testbench353.i_x353.i_camsync.rcv_run[0]
testbench353.i_x353.i_camsync.rcv_run_d[0]
testbench353.i_x353.i_camsync.rcv_run_or_deaf[0]
testbench353.i_x353.i_camsync.rep_en[0]
@22
testbench353.i_x353.i_camsync.repeat_period[31:0]
@28
testbench353.i_x353.i_camsync.restart[0]
@22
testbench353.i_x353.i_camsync.restart_cntr[31:0]
@28
testbench353.i_x353.i_camsync.restart_cntr_run[1:0]
testbench353.i_x353.i_camsync.sclk[0]
testbench353.i_x353.i_camsync.set_bit[0]
testbench353.i_x353.i_camsync.set_period[0]
@22
testbench353.i_x353.i_camsync.sr_rcv_first[31:0]
testbench353.i_x353.i_camsync.sr_rcv_second[31:0]
testbench353.i_x353.i_camsync.sr_snd_first[31:0]
testbench353.i_x353.i_camsync.sr_snd_second[31:0]
@28
testbench353.i_x353.i_camsync.start0[0]
testbench353.i_x353.i_camsync.start[0]
testbench353.i_x353.i_camsync.start_d[0]
testbench353.i_x353.i_camsync.start_dly[0]
testbench353.i_x353.i_camsync.start_en[0]
testbench353.i_x353.i_camsync.start_out_pulse[0]
testbench353.i_x353.i_camsync.start_pclk16[0]
testbench353.i_x353.i_camsync.start_pclk[2:0]
testbench353.i_x353.i_camsync.start_to_pclk[0]
testbench353.i_x353.i_camsync.testmode[0]
testbench353.i_x353.i_camsync.trigger1[0]
testbench353.i_x353.i_camsync.trigger1_dly16[0]
testbench353.i_x353.i_camsync.trigger[0]
testbench353.i_x353.i_camsync.trigger_condition[0]
testbench353.i_x353.i_camsync.trigger_condition_d[0]
testbench353.i_x353.i_camsync.trigger_condition_filtered[0]
@22
testbench353.i_x353.i_camsync.trigger_filter_cntr[6:0]
@28
testbench353.i_x353.i_camsync.triggered_mode[0]
testbench353.i_x353.i_camsync.triggered_mode_pclk[0]
testbench353.i_x353.i_camsync.trigrst[0]
testbench353.i_x353.i_camsync.ts_external[0]
testbench353.i_x353.i_camsync.ts_external_pclk[0]
testbench353.i_x353.i_camsync.ts_pre_stb[2:0]
@22
testbench353.i_x353.i_camsync.ts_rcv_sec[31:0]
testbench353.i_x353.i_camsync.ts_rcv_usec[19:0]
@28
testbench353.i_x353.i_camsync.ts_snap[0]
testbench353.i_x353.i_camsync.ts_snd_en[0]
testbench353.i_x353.i_camsync.ts_snd_en_pclk[0]
@22
testbench353.i_x353.i_camsync.ts_snd_sec[31:0]
testbench353.i_x353.i_camsync.ts_snd_usec[19:0]
@28
testbench353.i_x353.i_camsync.ts_stb[0]
testbench353.i_x353.i_camsync.ts_stb_pclk[0]
testbench353.i_x353.i_camsync.wa[1:0]
testbench353.i_x353.i_camsync.wad[1:0]
@22
......@@ -355,114 +552,238 @@ testbench353.i_x353.i_camsync.wen[3:0]
-camsync
@c00200
-sensorpads
@28
testbench353.i_x353.i_sensorpads.aro[0]
testbench353.i_x353.i_sensorpads.arst[0]
testbench353.i_x353.i_sensorpads.bpf[0]
testbench353.i_x353.i_sensorpads.clk[0]
testbench353.i_x353.i_sensorpads.clk_sel[0]
@22
testbench353.i_x353.i_sensorpads.cmd[6:0]
@28
testbench353.i_x353.i_sensorpads.cnvctl[1:0]
testbench353.i_x353.i_sensorpads.cnven[0]
testbench353.i_x353.i_sensorpads.dclk[0]
testbench353.i_x353.i_sensorpads.dclkmode[0]
testbench353.i_x353.i_sensorpads.dcm_done[0]
testbench353.i_x353.i_sensorpads.dcm_drst[2:0]
testbench353.i_x353.i_sensorpads.dcm_locked[0]
testbench353.i_x353.i_sensorpads.dcm_rst[0]
testbench353.i_x353.i_sensorpads.dcm_rst_cmd[0]
@22
testbench353.i_x353.i_sensorpads.dcm_status[7:0]
@28
testbench353.i_x353.i_sensorpads.debug[1:0]
testbench353.i_x353.i_sensorpads.en_vacts[0]
testbench353.i_x353.i_sensorpads.fifo_clkin[0]
testbench353.i_x353.i_sensorpads.force_senspgm[0]
testbench353.i_x353.i_sensorpads.hact[0]
@22
testbench353.i_x353.i_sensorpads.hact_length[13:0]
@28
testbench353.i_x353.i_sensorpads.hact_regen[0]
testbench353.i_x353.i_sensorpads.iaro[0]
testbench353.i_x353.i_sensorpads.iarst[0]
testbench353.i_x353.i_sensorpads.idclk[0]
testbench353.i_x353.i_sensorpads.ihact[0]
testbench353.i_x353.i_sensorpads.imrst[0]
@22
@c00022
testbench353.i_x353.i_sensorpads.ipxd[15:0]
@28
testbench353.i_x353.i_sensorpads.mrst[0]
testbench353.i_x353.i_sensorpads.pclk2x[0]
testbench353.i_x353.i_sensorpads.pclk2xi[0]
testbench353.i_x353.i_sensorpads.pxd14[0]
(0)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(1)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(2)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(3)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(4)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(5)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(6)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(7)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(8)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(9)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(10)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(11)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(12)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(13)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(14)testbench353.i_x353.i_sensorpads.ipxd[15:0]
(15)testbench353.i_x353.i_sensorpads.ipxd[15:0]
@1401200
-group_end
@22
testbench353.i_x353.i_sensorpads.pxd[11:0]
testbench353.i_x353.i_sensorpads.pxdi[11:0]
@28
testbench353.i_x353.i_sensorpads.sclk[0]
testbench353.i_x353.i_sensorpads.sens_clk[0]
testbench353.i_x353.i_sensorpads.senspgm[0]
testbench353.i_x353.i_sensorpads.senspgmin[0]
testbench353.i_x353.i_sensorpads.vact[0]
testbench353.i_x353.i_sensorpads.vacts[0]
testbench353.i_x353.i_sensorpads.wcmd[0]
testbench353.i_x353.i_sensorpads.xfpgadone[0]
testbench353.i_x353.i_sensorpads.xfpgaprog[0]
testbench353.i_x353.i_sensorpads.xfpgatck[0]
testbench353.i_x353.i_sensorpads.xfpgatdi[0]
testbench353.i_x353.i_sensorpads.xfpgatdo[0]
testbench353.i_x353.i_sensorpads.xfpgatms[0]
testbench353.i_x353.i_sensorpads.xpgmen[0]
testbench353.i_x353.i_sensorpads.xpgmen_d[1:0]
@1401200
-sensorpads
@200
-
@800200
-sdram
-sensorpix
@c00022
testbench353.i_x353.i_sensorpix.pxd[15:0]
@28
(0)testbench353.i_x353.i_sensorpix.pxd[15:0]
(1)testbench353.i_x353.i_sensorpix.pxd[15:0]
(2)testbench353.i_x353.i_sensorpix.pxd[15:0]
(3)testbench353.i_x353.i_sensorpix.pxd[15:0]
(4)testbench353.i_x353.i_sensorpix.pxd[15:0]
(5)testbench353.i_x353.i_sensorpix.pxd[15:0]
(6)testbench353.i_x353.i_sensorpix.pxd[15:0]
(7)testbench353.i_x353.i_sensorpix.pxd[15:0]
(8)testbench353.i_x353.i_sensorpix.pxd[15:0]
(9)testbench353.i_x353.i_sensorpix.pxd[15:0]
(10)testbench353.i_x353.i_sensorpix.pxd[15:0]
(11)testbench353.i_x353.i_sensorpix.pxd[15:0]
(12)testbench353.i_x353.i_sensorpix.pxd[15:0]
(13)testbench353.i_x353.i_sensorpix.pxd[15:0]
(14)testbench353.i_x353.i_sensorpix.pxd[15:0]
(15)testbench353.i_x353.i_sensorpix.pxd[15:0]
@1401200
-group_end
@28
testbench353.i_x353.i_sensorpix.twce_d
testbench353.i_x353.i_sensorpix.i_cstableh.DIPB
@22
testbench353.i_x353.SDA[14:0]
testbench353.i_x353.i_sensorpix.i_cstableh.DIB[7:0]
@28
testbench353.i_x353.SDCAS[0]
testbench353.i_x353.SDCLKE[0]
testbench353.i_x353.SDCLK[0]
testbench353.i_x353.SDCLK_FB[0]
testbench353.i_x353.i_sensorpix.i_cstablel.DIPB
@22
testbench353.i_x353.SDD[15:0]
testbench353.i_x353.i_sensorpix.i_cstablel.DIB[7:0]
testbench353.i_x353.i_sensorpix.wd[15:0]
testbench353.i_x353.i_sensorpix.wdd[15:0]
@c00022
testbench353.i_x353.i_sensorpix.table_diff_w[7:0]
@28
(0)testbench353.i_x353.i_sensorpix.table_diff_w[7:0]
(1)testbench353.i_x353.i_sensorpix.table_diff_w[7:0]
(2)testbench353.i_x353.i_sensorpix.table_diff_w[7:0]
(3)testbench353.i_x353.i_sensorpix.table_diff_w[7:0]
(4)testbench353.i_x353.i_sensorpix.table_diff_w[7:0]
(5)testbench353.i_x353.i_sensorpix.table_diff_w[7:0]
(6)testbench353.i_x353.i_sensorpix.table_diff_w[7:0]
(7)testbench353.i_x353.i_sensorpix.table_diff_w[7:0]
@1401200
-group_end
@200
-
@28
testbench353.i_x353.i_sensorpix.table_page
@c00022
testbench353.i_x353.i_sensorpix.color[1:0]
@28
testbench353.i_x353.SDLDM[0]
testbench353.i_x353.SDNCLK[0]
testbench353.i_x353.SDNCLK_FB[0]
testbench353.i_x353.SDRAS[0]
testbench353.i_x353.SDUDM[0]
testbench353.i_x353.SDWE[0]
(0)testbench353.i_x353.i_sensorpix.color[1:0]
(1)testbench353.i_x353.i_sensorpix.color[1:0]
@1401200
-group_end
@c00022
testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
@28
(0)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(1)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(2)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(3)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(4)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(5)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(6)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(7)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(8)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(9)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(10)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(11)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(12)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(13)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(14)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
(15)testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
@1401200
-group_end
@c00022
testbench353.i_x353.i_sensorpix.table_base_w[9:0]
@28
(0)testbench353.i_x353.i_sensorpix.table_base_w[9:0]
(1)testbench353.i_x353.i_sensorpix.table_base_w[9:0]
(2)testbench353.i_x353.i_sensorpix.table_base_w[9:0]
(3)testbench353.i_x353.i_sensorpix.table_base_w[9:0]
(4)testbench353.i_x353.i_sensorpix.table_base_w[9:0]
(5)testbench353.i_x353.i_sensorpix.table_base_w[9:0]
(6)testbench353.i_x353.i_sensorpix.table_base_w[9:0]
(7)testbench353.i_x353.i_sensorpix.table_base_w[9:0]
(8)testbench353.i_x353.i_sensorpix.table_base_w[9:0]
(9)testbench353.i_x353.i_sensorpix.table_base_w[9:0]
@1401200
-group_end
@200
-
@22
testbench353.i_x353.i_sensorpix.bayer0_latched
testbench353.i_x353.i_sensorpix.bayer[1:0]
testbench353.i_x353.i_sensorpix.bayer_nset
testbench353.i_x353.i_sensorpix.cdata[7:0]
testbench353.i_x353.i_sensorpix.color[1:0]
testbench353.i_x353.i_sensorpix.di[15:0]
testbench353.i_x353.i_sensorpix.do[15:0]
testbench353.i_x353.i_sensorpix.dsat[15:0]
testbench353.i_x353.i_sensorpix.dsat_d[15:0]
testbench353.i_x353.i_sensorpix.dwe
testbench353.i_x353.i_sensorpix.en
testbench353.i_x353.i_sensorpix.en_d
testbench353.i_x353.i_sensorpix.en_out
testbench353.i_x353.i_sensorpix.fbg[15:0]
testbench353.i_x353.i_sensorpix.fsc[12:0]
testbench353.i_x353.i_sensorpix.hact
@800022
testbench353.i_x353.i_sensorpix.hact_d[3:0]
@28
(0)testbench353.i_x353.i_sensorpix.hact_d[3:0]
(1)testbench353.i_x353.i_sensorpix.hact_d[3:0]
(2)testbench353.i_x353.i_sensorpix.hact_d[3:0]
(3)testbench353.i_x353.i_sensorpix.hact_d[3:0]
@1001200
-group_end
@22
testbench353.i_x353.i_sensorpix.hact_dly3
testbench353.i_x353.i_sensorpix.hact_m
testbench353.i_x353.i_sensorpix.hact_out
testbench353.i_x353.i_sensorpix.hact_outp
testbench353.i_x353.i_sensorpix.incbra
testbench353.i_x353.i_sensorpix.incbwa
testbench353.i_x353.i_sensorpix.interp_data[9:0]
testbench353.i_x353.i_sensorpix.mpymode[2:0]
testbench353.i_x353.i_sensorpix.next_table_page
testbench353.i_x353.i_sensorpix.pclk
testbench353.i_x353.i_sensorpix.pd_a[15:0]
testbench353.i_x353.i_sensorpix.pd_corr[16:0]
testbench353.i_x353.i_sensorpix.pd_corr_r[16:0]
testbench353.i_x353.i_sensorpix.pd_corrp[28:0]
testbench353.i_x353.i_sensorpix.pd_lenscorr_in[15:0]
testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
testbench353.i_x353.i_sensorpix.pd_lenscorr_out_d[7:0]
testbench353.i_x353.i_sensorpix.pd_sub[15:0]
testbench353.i_x353.i_sensorpix.pd_subp[16:0]
testbench353.i_x353.i_sensorpix.pre_wfpn
testbench353.i_x353.i_sensorpix.pre_wthrsh
testbench353.i_x353.i_sensorpix.pxd[15:0]
testbench353.i_x353.i_sensorpix.ra[9:0]
testbench353.i_x353.i_sensorpix.rpage
testbench353.i_x353.i_sensorpix.sclk
testbench353.i_x353.i_sensorpix.submode[2:0]
testbench353.i_x353.i_sensorpix.sumpix[22:0]
testbench353.i_x353.i_sensorpix.sync_bayer
testbench353.i_x353.i_sensorpix.ta[9:0]
testbench353.i_x353.i_sensorpix.table_base[9:0]
testbench353.i_x353.i_sensorpix.table_base_r[9:0]
testbench353.i_x353.i_sensorpix.table_base_w[9:0]
testbench353.i_x353.i_sensorpix.table_diff[10:0]
testbench353.i_x353.i_sensorpix.table_diff_w[7:0]
testbench353.i_x353.i_sensorpix.table_mult[35:0]
testbench353.i_x353.i_sensorpix.table_mult_r[17:7]
testbench353.i_x353.i_sensorpix.table_page
testbench353.i_x353.i_sensorpix.testdata[9:0]
testbench353.i_x353.i_sensorpix.testmode
testbench353.i_x353.i_sensorpix.thresh[21:0]
testbench353.i_x353.i_sensorpix.trig
testbench353.i_x353.i_sensorpix.trig_sel
testbench353.i_x353.i_sensorpix.twce
testbench353.i_x353.i_sensorpix.twce_d
testbench353.i_x353.i_sensorpix.vacts_sclk
testbench353.i_x353.i_sensorpix.wa[9:0]
testbench353.i_x353.i_sensorpix.wd[15:0]
testbench353.i_x353.i_sensorpix.wdd[15:0]
testbench353.i_x353.i_sensorpix.wdth
testbench353.i_x353.i_sensorpix.we_lensff
testbench353.i_x353.i_sensorpix.wfpn
testbench353.i_x353.i_sensorpix.wpage
testbench353.i_x353.i_sensorpix.wthrsh
@1000200
-sensorpix
@c00200
-sdram
@22
testbench353.i_x353.SDA[14:0]
testbench353.i_x353.SDD[15:0]
@1401200
-sdram
@c00200
>1
-system
@28
>1
testbench353.i_sensor12bits.ARO[0]
>1
testbench353.i_x353.CLK1[0]
>1
testbench353.VACT[0]
>1
testbench353.i_x353.vacts_every[0]
>1
testbench353.i_x353.vacts_long[0]
>1
testbench353.HACT[0]
@22
>1
testbench353.PXD[11:0]
@28
>1
testbench353.DREQ[0]
>1
testbench353.DACK[0]
@22
>1
testbench353.DMA_DI[31:0]
>1
......@@ -472,123 +793,21 @@ testbench353.CPU_DO[31:0]
@28
>1
testbench353.i_x353.cb_bayer_phase[1:0]
>1
testbench353.i_x353.DREQ0[0]
>1
testbench353.i_x353.DACK0[0]
>1
testbench353.i_x353.DREQ1[0]
>1
testbench353.i_x353.DACK1[0]
@22
>1
testbench353.DMA_DI_1[31:0]
@28
>1
testbench353.i_x353.vacts[0]
@22
>1
testbench353.i_x353.i2c_frame_no[2:0]
@28
>1
testbench353.i_x353.i_irq_smart.is_compressing[0]
>1
testbench353.i_x353.i_irq_smart.wait_frame_sync[0]
>1
testbench353.IRQ[0]
>1
testbench353.i_x353.compressor_eot[0]
>1
testbench353.i_x353.compressor_done_compress[0]
>1
testbench353.i_x353.compressor_done_input[0]
>1
testbench353.i_x353.compressor_done_pulse[0]
>1
testbench353.i_x353.compressor_started[0]
@22
>1
testbench353.i_x353.i_compressor.imgptr[23:0]
@28
>1
testbench353.i_x353.i_irq_smart.compressor_done[0]
>1
testbench353.i_x353.i_irq_smart.compressor_fifo_done[0]
>1
testbench353.i_x353.i_irq_smart.delaying_done_irq[0]
@22
>1
testbench353.i_x353.i_irq_smart.di[15:0]
@28
>1
testbench353.i_x353.i_irq_smart.done_request[0]
>1
testbench353.i_x353.i_irq_smart.end_postpone_fs[0]
>1
testbench353.i_x353.i_irq_smart.fifo_empty[0]
>1
testbench353.i_x353.i_irq_smart.finished[0]
>1
testbench353.i_x353.i_irq_smart.frame_sync[0]
>1
testbench353.i_x353.i_irq_smart.fs_postponed[0]
>1
testbench353.i_x353.i_irq_smart.irq[0]
>1
testbench353.i_x353.i_irq_smart.is_compressing[0]
>1
testbench353.i_x353.i_compressor.eot[0]
>1
testbench353.i_x353.i_compressor.i_huffman.last_block[0]
>1
testbench353.i_x353.i_compressor.i_huffman.gotLastBlock[0]
>1
testbench353.i_x353.i_compressor.color_first[0]
>1
testbench353.i_x353.i_compressor.color_last[0]
>1
testbench353.i_x353.i_compressor.i_encoderDCAC.lasti[0]
>1
testbench353.i_x353.i_compressor.i_encoderDCAC.lasto[0]
>1
testbench353.i_x353.i_irq_smart.is_finishing[0]
>1
testbench353.i_x353.i_irq_smart.rst[0]
>1
testbench353.i_x353.i_irq_smart.wait_fifo[0]
>1
testbench353.i_x353.i_irq_smart.wait_frame_sync[0]
>1
testbench353.i_x353.i_irq_smart.was_finishing[0]
>1
testbench353.i_x353.i_irq_smart.will_delay_done_irq[0]
>1
testbench353.i_x353.i_irq_smart.will_postpone_fs[0]
>1
testbench353.i_x353.i_camsync.restart[0]
>1
testbench353.i_x353.i_compressor.compressor_started[0]
>1
testbench353.i_x353.i_compressor.stuffer_done[0]
>1
testbench353.i_x353.i_compressor.i_stuffer.flush[0]
>1
testbench353.i_x353.i_compressor.i_stuffer.flush_end[0]
>1
testbench353.i_x353.i_compressor.i_stuffer.flush_end_delayed[0]
>1
testbench353.i_x353.i_compressor.i_stuffer.flush_now[0]
>1
testbench353.i_x353.i_compressor.i_stuffer.flushing[0]
@1401200
>1
-DREQ0[0]
@c00200
>0
-mcontr
@28
testbench353.i_x353.UDQS[0]
testbench353.i_x353.LDQS[0]
@200
>1
-DREQ1[0]
......@@ -597,10 +816,7 @@ testbench353.i_x353.LDQS[0]
@22
>1
testbench353.DMA_DI_1[31:0]
@28
>0
testbench353.i_x353.i_mcontr.WnR[0]
@22
testbench353.i_x353.i_mcontr.am[3:0]
testbench353.i_x353.i_mcontr.as[3:0]
testbench353.i_x353.i_mcontr.bmad0[8:0]
......@@ -609,333 +825,137 @@ testbench353.i_x353.i_mcontr.bmad2[8:0]
testbench353.i_x353.i_mcontr.bmad3[8:0]
testbench353.i_x353.i_mcontr.bonded[3:0]
testbench353.i_x353.i_mcontr.ch0a[9:0]
@28
testbench353.i_x353.i_mcontr.ch0clk[0]
@22
testbench353.i_x353.i_mcontr.ch0di[15:0]
@28
testbench353.i_x353.i_mcontr.ch0en[0]
@22
testbench353.i_x353.i_mcontr.ch0rd[31:0]
@28
testbench353.i_x353.i_mcontr.ch0rdy[0]
testbench353.i_x353.i_mcontr.ch0we[0]
@22
testbench353.i_x353.i_mcontr.ch1a[9:0]
@28
testbench353.i_x353.i_mcontr.ch1clk[0]
@22
testbench353.i_x353.i_mcontr.ch1do[15:0]
@28
testbench353.i_x353.i_mcontr.ch1rdy[0]
testbench353.i_x353.i_mcontr.ch1we[0]
@22
testbench353.i_x353.i_mcontr.ch2a[10:0]
@28
testbench353.i_x353.i_mcontr.ch2clk[0]
@22
testbench353.i_x353.i_mcontr.ch2do[7:0]
@28
testbench353.i_x353.i_mcontr.ch2rdy[0]
testbench353.i_x353.i_mcontr.ch2we[0]
testbench353.i_x353.i_mcontr.ch3en[0]
@22
testbench353.i_x353.i_mcontr.ch3maddr[6:0]
@28
testbench353.i_x353.i_mcontr.ch3owe[0]
testbench353.i_x353.i_mcontr.ch3page[1:0]
@22
testbench353.i_x353.i_mcontr.ch3rd[31:0]
testbench353.i_x353.i_mcontr.chInitOnehot[3:0]
@28
testbench353.i_x353.i_mcontr.chInit[0]
testbench353.i_x353.i_mcontr.chNum[1:0]
@22
testbench353.i_x353.i_mcontr.chReqInit[3:0]
@28
testbench353.i_x353.i_mcontr.chSt1[0]
testbench353.i_x353.i_mcontr.chSt2[0]
testbench353.i_x353.i_mcontr.ch_dlast[0]
testbench353.i_x353.i_mcontr.ch_drun_rd[0]
testbench353.i_x353.i_mcontr.ch_drun_wr[0]
testbench353.i_x353.i_mcontr.ch_prefirstdrun[0]
@22
testbench353.i_x353.i_mcontr.chnAckn[3:0]
testbench353.i_x353.i_mcontr.chnReqInit[3:0]
testbench353.i_x353.i_mcontr.chnReq[4:0]
@28
testbench353.i_x353.i_mcontr.clk0[0]
@22
testbench353.i_x353.i_mcontr.confirmRead0[3:0]
testbench353.i_x353.i_mcontr.confirmRead[3:0]
testbench353.i_x353.i_mcontr.curChanLate[3:0]
testbench353.i_x353.i_mcontr.curChan[4:0]
testbench353.i_x353.i_mcontr.di[31:0]
@28
testbench353.i_x353.i_mcontr.disSDRAM[0]
testbench353.i_x353.i_mcontr.dmask[1:0]
@22
testbench353.i_x353.i_mcontr.dnch[3:0]
testbench353.i_x353.i_mcontr.do[31:0]
@28
testbench353.i_x353.i_mcontr.dqs_re[0]
testbench353.i_x353.i_mcontr.dscs[0]
@22
testbench353.i_x353.i_mcontr.dsdo[31:0]
@28
testbench353.i_x353.i_mcontr.dsel[1:0]
testbench353.i_x353.i_mcontr.enRefresh[0]
testbench353.i_x353.i_mcontr.enSDRAM[0]
@22
testbench353.i_x353.i_mcontr.enXfer[3:0]
@28
testbench353.i_x353.i_mcontr.ench2[0]
@22
testbench353.i_x353.i_mcontr.ia[3:0]
@28
testbench353.i_x353.i_mcontr.init_ch3[0]
@22
testbench353.i_x353.i_mcontr.mancmd[17:0]
@28
testbench353.i_x353.i_mcontr.menrw[0]
testbench353.i_x353.i_mcontr.mode[0]
testbench353.i_x353.i_mcontr.mwnr[0]
testbench353.i_x353.i_mcontr.nBuf[1:0]
@22
testbench353.i_x353.i_mcontr.nextBlocksEn[3:0]
testbench353.i_x353.i_mcontr.nextFrame[3:0]
@28
testbench353.i_x353.i_mcontr.nextReq[0]
testbench353.i_x353.i_mcontr.next_ch3[0]
@22
testbench353.i_x353.i_mcontr.param[5:0]
@28
testbench353.i_x353.i_mcontr.predqt[0]
testbench353.i_x353.i_mcontr.rdy[0]
testbench353.i_x353.i_mcontr.readNextFrame0[0]
testbench353.i_x353.i_mcontr.readNextFrame1[0]
testbench353.i_x353.i_mcontr.readNextFrame2[0]
testbench353.i_x353.i_mcontr.readNextFrame3[0]
@22
testbench353.i_x353.i_mcontr.readNextFrameS[3:0]
testbench353.i_x353.i_mcontr.readNextFrame_rst[3:0]
@28
testbench353.i_x353.i_mcontr.refrStart[0]
@22
testbench353.i_x353.i_mcontr.restart[3:0]
@28
testbench353.i_x353.i_mcontr.restart_en[0]
testbench353.i_x353.i_mcontr.rovr[0]
@22
testbench353.i_x353.i_mcontr.sda[12:0]
@28
testbench353.i_x353.i_mcontr.sdba[1:0]
testbench353.i_x353.i_mcontr.sdcas[0]
@22
testbench353.i_x353.i_mcontr.sddi[31:0]
testbench353.i_x353.i_mcontr.sddo[31:0]
testbench353.i_x353.i_mcontr.sddo_reg[31:0]
@28
testbench353.i_x353.i_mcontr.sdras[0]
testbench353.i_x353.i_mcontr.sdwe[0]
@22
testbench353.i_x353.i_mcontr.sfa[24:8]
testbench353.i_x353.i_mcontr.startAddr[24:3]
@28
testbench353.i_x353.i_mcontr.stch0[0]
testbench353.i_x353.i_mcontr.stch1[0]
testbench353.i_x353.i_mcontr.stch2[0]
testbench353.i_x353.i_mcontr.trist[0]
testbench353.i_x353.i_mcontr.wrempty[0]
@1401200
-mcontr
@c00200
-chnrq0
@28
testbench353.i_x353.i_mcontr.i_channelRequest0.ackn[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.cntrsInit[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.cntrsValid[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.current_wnr[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.done[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.eclk[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.ecnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest0.enXfer[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.en_done[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.iclk[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.icnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest0.init[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.next_ecnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest0.next_icnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest0.next_rcnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest0.rcnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest0.rdy[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.rdy_async[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.ready_off[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.rqInit[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.rq[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.rst[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.start[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.wnr[0]
testbench353.i_x353.i_mcontr.i_channelRequest0.wrempty[0]
@1401200
-chnrq0
@c00200
-chnrq1
@28
testbench353.i_x353.i_mcontr.i_channelRequest1.ackn[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.cntrsInit[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.cntrsValid[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.current_wnr[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.done[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.eclk[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.ecnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest1.enXfer[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.en_done[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.iclk[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.icnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest1.init[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.next_ecnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest1.next_icnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest1.next_rcnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest1.rcnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest1.rdy[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.rdy_async[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.ready_off[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.rqInit[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.rq[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.rst[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.start[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.wnr[0]
testbench353.i_x353.i_mcontr.i_channelRequest1.wrempty[0]
@1401200
-chnrq1
@c00200
-chnrq2
@28
testbench353.i_x353.i_mcontr.i_channelRequest2.ackn[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.cntrsInit[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.cntrsValid[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.current_wnr[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.done[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.eclk[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.ecnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest2.enXfer[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.en_done[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.iclk[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.icnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest2.init[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.next_ecnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest2.next_icnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest2.next_rcnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest2.rcnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest2.rdy[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.rdy_async[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.ready_off[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.rqInit[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.rq[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.rst[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.start[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.wnr[0]
testbench353.i_x353.i_mcontr.i_channelRequest2.wrempty[0]
@1401200
-chnrq2
@c00200
-chnrq3
@28
testbench353.i_x353.i_mcontr.i_channelRequest3.ackn[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.cntrsInit[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.cntrsValid[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.current_wnr[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.done[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.eclk[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.ecnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest3.enXfer[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.en_done[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.iclk[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.icnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest3.init[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.next_ecnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest3.next_icnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest3.next_rcnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest3.rcnt[2:0]
testbench353.i_x353.i_mcontr.i_channelRequest3.rdy[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.rdy_async[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.ready_off[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.rqInit[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.rq[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.rst[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.start[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.wnr[0]
testbench353.i_x353.i_mcontr.i_channelRequest3.wrempty[0]
@1401200
-chnrq3
@c00200
-descrproc
@28
testbench353.i_x353.i_mcontr.i_descrproc.WnR[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.am[3:0]
testbench353.i_x353.i_mcontr.i_descrproc.as[3:0]
testbench353.i_x353.i_mcontr.i_descrproc.bonded[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.chInitNum[1:0]
testbench353.i_x353.i_mcontr.i_descrproc.chInit[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.chNumOneHot[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.chNum[1:0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.chReqInit[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.chStIn[0]
testbench353.i_x353.i_mcontr.i_descrproc.chStOut[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.channelIsRead[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.clk[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.confirmRead[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.depend[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.descr_dyn[21:0]
testbench353.i_x353.i_mcontr.i_descrproc.descr_stat[17:0]
testbench353.i_x353.i_mcontr.i_descrproc.descr_stat_inc[4:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.destBond[0]
testbench353.i_x353.i_mcontr.i_descrproc.destChNum[1:0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.dest_bond_en[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.dest_mode[0]
testbench353.i_x353.i_mcontr.i_descrproc.enRefresh0[0]
testbench353.i_x353.i_mcontr.i_descrproc.enRefresh[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.enRestart[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.enSDRAM0[0]
testbench353.i_x353.i_mcontr.i_descrproc.enSDRAM[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.enXfer0[3:0]
testbench353.i_x353.i_mcontr.i_descrproc.enXfer[3:0]
testbench353.i_x353.i_mcontr.i_descrproc.extRestartRq0[3:0]
testbench353.i_x353.i_mcontr.i_descrproc.extRestartRq1[3:0]
testbench353.i_x353.i_mcontr.i_descrproc.extRestartRq2[3:0]
testbench353.i_x353.i_mcontr.i_descrproc.extRestartRq[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.first_tile[0]
testbench353.i_x353.i_mcontr.i_descrproc.first_tile_dest[0]
testbench353.i_x353.i_mcontr.i_descrproc.first_tile_reg[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.ia[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.initChannelAsRead[0]
testbench353.i_x353.i_mcontr.i_descrproc.lastLineDest[0]
testbench353.i_x353.i_mcontr.i_descrproc.last_line[0]
testbench353.i_x353.i_mcontr.i_descrproc.last_lines[0]
testbench353.i_x353.i_mcontr.i_descrproc.last_lines_reg[0]
testbench353.i_x353.i_mcontr.i_descrproc.last_lines_source[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.linAddr[16:0]
testbench353.i_x353.i_mcontr.i_descrproc.linAddr_input[18:0]
testbench353.i_x353.i_mcontr.i_descrproc.lineNumDest[13:0]
......@@ -944,115 +964,48 @@ testbench353.i_x353.i_mcontr.i_descrproc.lineNumSource[13:0]
testbench353.i_x353.i_mcontr.i_descrproc.mancmdRqS[2:0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.mancmd[17:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.mcs[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.mdi[17:0]
testbench353.i_x353.i_mcontr.i_descrproc.mdo1[17:0]
testbench353.i_x353.i_mcontr.i_descrproc.mdo2[21:0]
testbench353.i_x353.i_mcontr.i_descrproc.mdo[31:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.mode[0]
testbench353.i_x353.i_mcontr.i_descrproc.nBuf[1:0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.nextBlocksEn[3:0]
testbench353.i_x353.i_mcontr.i_descrproc.nextFrame[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.notEnoughData[0]
testbench353.i_x353.i_mcontr.i_descrproc.nxtTF_p[2:0]
testbench353.i_x353.i_mcontr.i_descrproc.nxtTFr[0]
testbench353.i_x353.i_mcontr.i_descrproc.nxtTFw[0]
testbench353.i_x353.i_mcontr.i_descrproc.nxtTL[0]
testbench353.i_x353.i_mcontr.i_descrproc.nxtTLw[0]
testbench353.i_x353.i_mcontr.i_descrproc.nxtTf_d[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.padlen[4:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.pre_rovr[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.prevStripSource[13:4]
@28
testbench353.i_x353.i_mcontr.i_descrproc.rNum[1:0]
testbench353.i_x353.i_mcontr.i_descrproc.resetDestBond[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.restart[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.restart_en[0]
testbench353.i_x353.i_mcontr.i_descrproc.restart_en_sync[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.rnTilesY[13:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.rovr[0]
testbench353.i_x353.i_mcontr.i_descrproc.rqInitS[0]
testbench353.i_x353.i_mcontr.i_descrproc.rqInit[0]
testbench353.i_x353.i_mcontr.i_descrproc.rst[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.sa[24:3]
testbench353.i_x353.i_mcontr.i_descrproc.seq_par[5:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.setDestBond[0]
testbench353.i_x353.i_mcontr.i_descrproc.setLineNumDest[0]
testbench353.i_x353.i_mcontr.i_descrproc.setLineNumSource[0]
testbench353.i_x353.i_mcontr.i_descrproc.setSourceBond[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.sfa[24:8]
@28
testbench353.i_x353.i_mcontr.i_descrproc.srcAtStart[0]
testbench353.i_x353.i_mcontr.i_descrproc.stepsDwe[0]
testbench353.i_x353.i_mcontr.i_descrproc.stepsEn012[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.stepsEn[3:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.stepsI[0]
testbench353.i_x353.i_mcontr.i_descrproc.stepsIe[0]
@22
testbench353.i_x353.i_mcontr.i_descrproc.steps[3:0]
testbench353.i_x353.i_mcontr.i_descrproc.suspXfer[3:0]
testbench353.i_x353.i_mcontr.i_descrproc.tileX[9:0]
testbench353.i_x353.i_mcontr.i_descrproc.tileY[13:0]
@28
testbench353.i_x353.i_mcontr.i_descrproc.updSuspXfer[0]
@1401200
-descrproc
@200
-
@c00200
-debug_memcntr
@28
testbench353.i_x353.i_mcontr.i_sdseq.clk0[0]
testbench353.i_x353.i_mcontr.i_sdseq.rst[0]
testbench353.i_x353.i_mcontr.i_sdseq.prerw[0]
@22
testbench353.i_x353.i_mcontr.i_sdseq.mancmd[17:0]
testbench353.i_x353.i_mcontr.i_sdseq.prea[12:0]
@28
testbench353.i_x353.i_mcontr.i_sdseq.first[0]
@22
testbench353.i_x353.i_mcontr.i_sdseq.fullAddr[24:3]
@28
testbench353.i_x353.i_mcontr.i_sdseq.pre1act_m1d2[0]
testbench353.i_x353.i_mcontr.i_sdseq.preact[0]
testbench353.i_x353.i_mcontr.i_sdseq.continue_m1[0]
testbench353.i_x353.i_mcontr.i_sdseq.precontinue_m1[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre2prech_m[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre6prech_m[0]
testbench353.i_x353.i_mcontr.i_sdseq.drun_wr[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre7prech_m[1:0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_m1s[0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_m1d[0]
@22
testbench353.i_x353.i_mcontr.i_sdseq.left[4:0]
@28
testbench353.i_x353.i_mcontr.i_sdseq.mode[0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_m0[0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_m0r[0]
testbench353.i_x353.i_mcontr.i_sdseq.start_m0r[0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_m0w1[0]
testbench353.i_x353.i_mcontr.i_sdseq.start_m0w[0]
@22
testbench353.i_x353.i_mcontr.i_sdseq.param[5:0]
@28
testbench353.i_x353.i_mcontr.i_sdseq.continue_m0[0]
@1401200
-debug_memcntr
@200
......@@ -1061,99 +1014,141 @@ testbench353.i_x353.i_mcontr.i_sdseq.continue_m0[0]
-sdseq
@28
testbench353.i_x353.i_mcontr.i_sdseq.chsel[1:0]
testbench353.i_x353.i_mcontr.i_sdseq.clk0[0]
testbench353.i_x353.i_mcontr.i_sdseq.continue_m0[0]
testbench353.i_x353.i_mcontr.i_sdseq.continue_m1[0]
testbench353.i_x353.i_mcontr.i_sdseq.decLeft[0]
testbench353.i_x353.i_mcontr.i_sdseq.dlast[0]
testbench353.i_x353.i_mcontr.i_sdseq.dmask[1:0]
testbench353.i_x353.i_mcontr.i_sdseq.dqs_re[0]
testbench353.i_x353.i_mcontr.i_sdseq.drun_rd[0]
testbench353.i_x353.i_mcontr.i_sdseq.drun_wr[0]
testbench353.i_x353.i_mcontr.i_sdseq.dsel[1:0]
testbench353.i_x353.i_mcontr.i_sdseq.first[0]
@22
testbench353.i_x353.i_mcontr.i_sdseq.fullAddr[24:3]
testbench353.i_x353.i_mcontr.i_sdseq.left[4:0]
testbench353.i_x353.i_mcontr.i_sdseq.mancmd[17:0]
@28
testbench353.i_x353.i_mcontr.i_sdseq.mode[0]
testbench353.i_x353.i_mcontr.i_sdseq.mode_r[0]
@22
testbench353.i_x353.i_mcontr.i_sdseq.nextAddr[24:3]
testbench353.i_x353.i_mcontr.i_sdseq.nextPageAddr[24:10]
@28
testbench353.i_x353.i_mcontr.i_sdseq.next[0]
@22
testbench353.i_x353.i_mcontr.i_sdseq.param[5:0]
@28
testbench353.i_x353.i_mcontr.i_sdseq.possible_dual[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre1act_m1d2[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre2act_m1d2[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre2firstdrun_rd[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre2prech_m1d1[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre2prech_m[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre2read[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre2read_next8[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre2refr[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre2trist[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre2write[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre2write_next8[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre4drun_rd[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre4drun_rd_abort[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre6prech_m[0]
testbench353.i_x353.i_mcontr.i_sdseq.pre7prech_m[1:0]
testbench353.i_x353.i_mcontr.i_sdseq.pre_next_old[0]
@22
testbench353.i_x353.i_mcontr.i_sdseq.prea[12:0]
@28
testbench353.i_x353.i_mcontr.i_sdseq.preact[0]
testbench353.i_x353.i_mcontr.i_sdseq.preb[1:0]
testbench353.i_x353.i_mcontr.i_sdseq.precmd[2:0]
testbench353.i_x353.i_mcontr.i_sdseq.precontinue_m1[0]
testbench353.i_x353.i_mcontr.i_sdseq.predlast_rd[0]
testbench353.i_x353.i_mcontr.i_sdseq.predlast_wr[0]
testbench353.i_x353.i_mcontr.i_sdseq.predmask[0]
testbench353.i_x353.i_mcontr.i_sdseq.predqs_re[0]
testbench353.i_x353.i_mcontr.i_sdseq.predqt[0]
testbench353.i_x353.i_mcontr.i_sdseq.predrun_rd[0]
testbench353.i_x353.i_mcontr.i_sdseq.predrun_wr[0]
testbench353.i_x353.i_mcontr.i_sdseq.predrun_wr_abort[0]
testbench353.i_x353.i_mcontr.i_sdseq.prefirst[0]
testbench353.i_x353.i_mcontr.i_sdseq.prefirstdrun[0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_m0[0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_m0r[0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_m0w1[0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_m1d[0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_m1s[0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_refr[0]
testbench353.i_x353.i_mcontr.i_sdseq.prenext_wr[0]
testbench353.i_x353.i_mcontr.i_sdseq.preprech[0]
testbench353.i_x353.i_mcontr.i_sdseq.preread[0]
testbench353.i_x353.i_mcontr.i_sdseq.prerefr[0]
testbench353.i_x353.i_mcontr.i_sdseq.prerw[0]
testbench353.i_x353.i_mcontr.i_sdseq.prewrite[0]
testbench353.i_x353.i_mcontr.i_sdseq.refr[0]
testbench353.i_x353.i_mcontr.i_sdseq.repeat_r[0]
testbench353.i_x353.i_mcontr.i_sdseq.repeat_r_end[0]
testbench353.i_x353.i_mcontr.i_sdseq.repeat_w[0]
testbench353.i_x353.i_mcontr.i_sdseq.rollover[0]
testbench353.i_x353.i_mcontr.i_sdseq.rovr[0]
testbench353.i_x353.i_mcontr.i_sdseq.rst[0]
@22
testbench353.i_x353.i_mcontr.i_sdseq.sa[24:3]
testbench353.i_x353.i_mcontr.i_sdseq.sfa[24:8]
@1401200
-sdseq
@c00200
-timestamp353
@28
testbench353.i_x353.i_mcontr.i_sdseq.setNextAddr[0]
testbench353.i_x353.i_timestamp353.line[2:0]
@22
testbench353.i_x353.i_mcontr.i_sdseq.sfa[24:8]
testbench353.i_x353.i_timestamp353.pxdi[15:0]
testbench353.i_x353.i_timestamp353.pxdi_r[15:0]
testbench353.i_x353.i_timestamp353.pxdo[15:0]
testbench353.i_x353.i_timestamp353.sec[31:0]
testbench353.i_x353.i_timestamp353.ts_count[4:0]
testbench353.i_x353.i_timestamp353.ts_data[25:0]
@28
testbench353.i_x353.i_mcontr.i_sdseq.start_m0r[0]
testbench353.i_x353.i_mcontr.i_sdseq.start_m0w[0]
testbench353.i_x353.i_mcontr.i_sdseq.start_m1[0]
testbench353.i_x353.i_mcontr.i_sdseq.startf_m1[0]
testbench353.i_x353.i_mcontr.i_sdseq.wnr[0]
testbench353.i_x353.i_mcontr.i_sdseq.xfer[0]
testbench353.i_x353.i_timestamp353.tsmode[1:0]
@22
testbench353.i_x353.i_timestamp353.usec[19:0]
@28
testbench353.i_x353.i_timestamp353.wd[1:0]
testbench353.i_x353.i_timestamp353.wdd[1:0]
@1401200
-sdseq
-timestamp353
@c00200
-rtc353
@22
testbench353.i_x353.i_rtc353.acc[23:0]
@28
testbench353.i_x353.i_rtc353.clk12_d[2:0]
testbench353.i_x353.i_rtc353.clk12_s[2:0]
testbench353.i_x353.i_rtc353.cntr6[2:0]
@22
testbench353.i_x353.i_rtc353.corr[15:0]
@800022
testbench353.i_x353.i_rtc353.halfusec[3:0]
@28
(0)testbench353.i_x353.i_rtc353.halfusec[3:0]
(1)testbench353.i_x353.i_rtc353.halfusec[3:0]
(2)testbench353.i_x353.i_rtc353.halfusec[3:0]
(3)testbench353.i_x353.i_rtc353.halfusec[3:0]
@1001200
-group_end
@28
testbench353.i_x353.i_rtc353.inc_sec[1:0]
testbench353.i_x353.i_rtc353.inc_usec[1:0]
@22
testbench353.i_x353.i_rtc353.msec[31:0]
testbench353.i_x353.i_rtc353.musec[19:0]
testbench353.i_x353.i_rtc353.next_acc[24:0]
testbench353.i_x353.i_rtc353.psec[31:0]
testbench353.i_x353.i_rtc353.pusec[19:0]
testbench353.i_x353.i_rtc353.sec[31:0]
testbench353.i_x353.i_rtc353.sec_plus1[31:0]
testbench353.i_x353.i_rtc353.usec[19:0]
@28
testbench353.i_x353.i_rtc353.usec_lsb[2:0]
@22
testbench353.i_x353.i_rtc353.usec_plus1[19:0]
@28
testbench353.i_x353.i_rtc353.wa[1:0]
@22
testbench353.i_x353.i_rtc353.wd[15:0]
testbench353.i_x353.i_rtc353.wd_r[15:0]
testbench353.i_x353.i_rtc353.wdd[31:0]
testbench353.i_x353.i_rtc353.wsec[31:0]
testbench353.i_x353.i_rtc353.wusec[19:0]
@1401200
-rtc353
@c00200
-sensorpix
@28
testbench353.i_x353.i_sensorpix.bayer[1:0]
@22
testbench353.i_x353.i_sensorpix.cdata[7:0]
@28
testbench353.i_x353.i_sensorpix.color[1:0]
@22
testbench353.i_x353.i_sensorpix.di[15:0]
testbench353.i_x353.i_sensorpix.do[15:0]
testbench353.i_x353.i_sensorpix.dsat[15:0]
testbench353.i_x353.i_sensorpix.dsat_d[15:0]
testbench353.i_x353.i_sensorpix.fbg[15:0]
testbench353.i_x353.i_sensorpix.fsc[12:0]
testbench353.i_x353.i_sensorpix.hact_d[3:0]
testbench353.i_x353.i_sensorpix.interp_data[9:0]
@28
testbench353.i_x353.i_sensorpix.mpymode[2:0]
@22
testbench353.i_x353.i_sensorpix.pd_a[15:0]
testbench353.i_x353.i_sensorpix.pd_corr[16:0]
testbench353.i_x353.i_sensorpix.pd_corr_r[16:0]
testbench353.i_x353.i_sensorpix.pd_corrp[28:0]
testbench353.i_x353.i_sensorpix.pd_lenscorr_in[15:0]
testbench353.i_x353.i_sensorpix.pd_lenscorr_out[15:0]
testbench353.i_x353.i_sensorpix.pd_lenscorr_out_d[7:0]
testbench353.i_x353.i_sensorpix.pd_sub[15:0]
testbench353.i_x353.i_sensorpix.pd_subp[16:0]
testbench353.i_x353.i_sensorpix.pxd[15:0]
testbench353.i_x353.i_sensorpix.ra[9:0]
@28
testbench353.i_x353.i_sensorpix.submode[2:0]
@22
testbench353.i_x353.i_sensorpix.sumpix[22:0]
testbench353.i_x353.i_sensorpix.ta[9:0]
testbench353.i_x353.i_sensorpix.table_base[9:0]
testbench353.i_x353.i_sensorpix.table_base_r[9:0]
testbench353.i_x353.i_sensorpix.table_base_w[9:0]
testbench353.i_x353.i_sensorpix.table_diff[10:0]
testbench353.i_x353.i_sensorpix.table_diff_w[7:0]
testbench353.i_x353.i_sensorpix.table_mult[35:0]
testbench353.i_x353.i_sensorpix.table_mult_r[17:7]
testbench353.i_x353.i_sensorpix.testdata[9:0]
testbench353.i_x353.i_sensorpix.thresh[21:0]
testbench353.i_x353.i_sensorpix.wa[9:0]
testbench353.i_x353.i_sensorpix.wd[15:0]
testbench353.i_x353.i_sensorpix.wdd[15:0]
@1401200
-sensorpix
[pattern_trace] 1
[pattern_trace] 0
......@@ -48,7 +48,7 @@ module testbench353();
`include "IVERILOG_INCLUDE.v"
`else
initial $display("IVERILOG is not defined");
parameter lxtname = "x353_1.lxt";
parameter fstname = "x353_1.fst";
`endif
`ifdef SYNC_COMPRESS
......@@ -513,8 +513,8 @@ defparam i_sensor12bits.trigdly = TRIG_LINES; // delay between trigger input a
initial begin
// $dumpfile("x353.lxt");
$dumpfile(lxtname);
// $dumpfile("x353.fst");
$dumpfile(fstname);
$dumpvars(0,testbench353); //testbench353 cannot be resolved to a signal or parameter //SuppressThisWarning Veditor
TTRIG = 1;
CLK3 = 0;
......@@ -964,7 +964,11 @@ end
cpu_wr('h4c,'h1); // time stamp mode 1 (
//AF2015 cpu_wr('h4c,'h1); // time stamp mode 1 (
cpu_wr('h4c,'h0); // time stamp mode off (not supported in 393!) (
// +++++++++++ photofinish mode ++++++++++
// init_chan (0,0,1,1,'h200000,'h07,'h1f); //
// cpu_wr('h48,'h2); // time stamp mode 2
......@@ -1136,7 +1140,7 @@ $display ("saturation=2");
*/
// Lens flat field correction
cpu_wr('h62,'h31000000); // [AX] => 0x0
cpu_wr('h62,'h31080000); // [AY] => 0
cpu_wr('h62,'h31108000); // [C] => 0x8000
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment